summaryrefslogtreecommitdiffstats
path: root/de1/fpga-bbc-pq/master/build-system.patch
diff options
context:
space:
mode:
Diffstat (limited to 'de1/fpga-bbc-pq/master/build-system.patch')
-rw-r--r--de1/fpga-bbc-pq/master/build-system.patch66
1 files changed, 66 insertions, 0 deletions
diff --git a/de1/fpga-bbc-pq/master/build-system.patch b/de1/fpga-bbc-pq/master/build-system.patch
new file mode 100644
index 0000000..7b9f40f
--- /dev/null
+++ b/de1/fpga-bbc-pq/master/build-system.patch
@@ -0,0 +1,66 @@
+diff --git a/Makefile b/Makefile
+new file mode 100644
+index 0000000..dc728fe
+--- /dev/null
++++ b/Makefile
+@@ -0,0 +1,39 @@
++PROJ=bbc_micro_de1
++
++SRCS=$(wildcard *.vhd *.v *.qsf *.qpf T65/*.vhd sn76489-1.0/*.vhd roms roms/saa5050/saa5050.hex)
++SOF=${PROJ}.sof
++
++default: load_sof.stamp
++
++sta.stamp:asm.stamp
++ ./quartus_wrap quartus_sta ${PROJ} -c ${PROJ}
++ touch $@
++
++asm.stamp:fit.stamp
++ ./quartus_wrap quartus_asm --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
++ touch $@
++
++${SOF}:asm.stamp
++
++fit.stamp: ans.stamp
++ ./quartus_wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
++ touch $@
++
++ans.stamp: source.stamp
++ ./quartus_wrap quartus_map --read_settings_files=on --write_settings_files=off ${PROJ} -c ${PROJ}
++ touch $@
++
++source.stamp:${SRCS}
++ touch source.stamp
++
++load_sof.stamp: ${SOF}
++ ./quartus_wrap quartus_pgm -m JTAG -o "p;${SOF}" -c 1
++ #touch $@
++
++clean:
++ /bin/rm -rf db incremental_db
++ /bin/rm -f *.stamp ${SOF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof *.done *.rom
++
++
++
++
+diff --git a/quartus_wrap b/quartus_wrap
+new file mode 100755
+index 0000000..e818413
+--- /dev/null
++++ b/quartus_wrap
+@@ -0,0 +1,15 @@
++#!/bin/bash
++
++AD=/software/apps/altera/quartus_ii_13.0sp1
++if [ $(uname -m ) == "x86_64" ]; then
++ LL=linux64
++else
++ LL=linux
++fi
++QUARTUS_ROOTDIR="${AD}/quartus"
++PATH="${AD}/quartus/bin:${AD}/quartus/sopc_builder/bin:${AD}/nios2eds/sdk2/bin:${AD}/nios2eds/bin:${AD}/nios2eds/bin/gnu/H-i686-pc-linux-gnu/bin:${PATH}"
++LD_LIBRARY_PATH="${AD}/quartus/${LL}:/software/apps/altera/libcompat/32:/software/apps/altera/libcompat/64:${LD_LIBRARY_PATH}"
++
++export LD_LIBRARY_PATH PATH QUARTUS_ROOTDIR
++
++"$@"