summaryrefslogtreecommitdiffstats
path: root/de1/fpga-flash-nor/quartus_wrap
diff options
context:
space:
mode:
authorroot <root@ka-ata-killa.ourano.james.local>2021-02-24 23:45:58 +0000
committerroot <root@ka-ata-killa.ourano.james.local>2021-02-24 23:45:58 +0000
commit1575d4f53805f177474b5bb96daebede9b2dfb73 (patch)
tree91f6819f7280088bb9344fae7dbef8c43a0a04c0 /de1/fpga-flash-nor/quartus_wrap
downloadbase-master.tar.gz
base-master.tar.bz2
base-master.zip
Diffstat (limited to 'de1/fpga-flash-nor/quartus_wrap')
-rwxr-xr-xde1/fpga-flash-nor/quartus_wrap15
1 files changed, 15 insertions, 0 deletions
diff --git a/de1/fpga-flash-nor/quartus_wrap b/de1/fpga-flash-nor/quartus_wrap
new file mode 100755
index 0000000..e818413
--- /dev/null
+++ b/de1/fpga-flash-nor/quartus_wrap
@@ -0,0 +1,15 @@
+#!/bin/bash
+
+AD=/software/apps/altera/quartus_ii_13.0sp1
+if [ $(uname -m ) == "x86_64" ]; then
+ LL=linux64
+else
+ LL=linux
+fi
+QUARTUS_ROOTDIR="${AD}/quartus"
+PATH="${AD}/quartus/bin:${AD}/quartus/sopc_builder/bin:${AD}/nios2eds/sdk2/bin:${AD}/nios2eds/bin:${AD}/nios2eds/bin/gnu/H-i686-pc-linux-gnu/bin:${PATH}"
+LD_LIBRARY_PATH="${AD}/quartus/${LL}:/software/apps/altera/libcompat/32:/software/apps/altera/libcompat/64:${LD_LIBRARY_PATH}"
+
+export LD_LIBRARY_PATH PATH QUARTUS_ROOTDIR
+
+"$@"