aboutsummaryrefslogtreecommitdiffstats
path: root/os
diff options
context:
space:
mode:
authortheShed <theShed@35acf78f-673a-0410-8e92-d51de3d6d3f4>2013-04-02 14:01:52 +0000
committertheShed <theShed@35acf78f-673a-0410-8e92-d51de3d6d3f4>2013-04-02 14:01:52 +0000
commit0424e11412d67f90d39bc1a9a090dfc70a1093cd (patch)
tree468484f664279fe9aa311dd2d368072d10bfbe97 /os
parent93baafe2e631849f61e8e73752c2b51cefa44578 (diff)
downloadChibiOS-0424e11412d67f90d39bc1a9a090dfc70a1093cd.tar.gz
ChibiOS-0424e11412d67f90d39bc1a9a090dfc70a1093cd.tar.bz2
ChibiOS-0424e11412d67f90d39bc1a9a090dfc70a1093cd.zip
Add os/hal/platforms/LPC8xx/spi_lld
git-svn-id: svn://svn.code.sf.net/p/chibios/svn/trunk@5534 35acf78f-673a-0410-8e92-d51de3d6d3f4
Diffstat (limited to 'os')
-rw-r--r--os/hal/platforms/LPC8xx/platform.mk1
-rw-r--r--os/hal/platforms/LPC8xx/spi_lld.c385
-rw-r--r--os/hal/platforms/LPC8xx/spi_lld.h285
3 files changed, 671 insertions, 0 deletions
diff --git a/os/hal/platforms/LPC8xx/platform.mk b/os/hal/platforms/LPC8xx/platform.mk
index be0190665..31f20d16c 100644
--- a/os/hal/platforms/LPC8xx/platform.mk
+++ b/os/hal/platforms/LPC8xx/platform.mk
@@ -3,6 +3,7 @@ PLATFORMSRC = ${CHIBIOS}/os/hal/platforms/LPC8xx/hal_lld.c \
${CHIBIOS}/os/hal/platforms/LPC8xx/gpt_lld.c \
${CHIBIOS}/os/hal/platforms/LPC8xx/pal_lld.c \
${CHIBIOS}/os/hal/platforms/LPC8xx/serial_lld.c \
+ ${CHIBIOS}/os/hal/platforms/LPC8xx/spi_lld.c \
${CHIBIOS}/os/hal/platforms/LPC8xx/ext_lld.c \
${CHIBIOS}/os/hal/platforms/LPC8xx/ext_lld_isr.c
diff --git a/os/hal/platforms/LPC8xx/spi_lld.c b/os/hal/platforms/LPC8xx/spi_lld.c
new file mode 100644
index 000000000..f9f4a9409
--- /dev/null
+++ b/os/hal/platforms/LPC8xx/spi_lld.c
@@ -0,0 +1,385 @@
+/*
+ ChibiOS/RT - Copyright (C) 2006-2013 Giovanni Di Sirio
+
+ Licensed under the Apache License, Version 2.0 (the "License");
+ you may not use this file except in compliance with the License.
+ You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ See the License for the specific language governing permissions and
+ limitations under the License.
+*/
+
+/**
+ * @file LPC8xx/spi_lld.c
+ * @brief LPC8xx low level SPI driver code.
+ *
+ * @addtogroup SPI
+ * @{
+ */
+
+#include "ch.h"
+#include "hal.h"
+
+#if HAL_USE_SPI || defined(__DOXYGEN__)
+
+/*===========================================================================*/
+/* Driver exported variables. */
+/*===========================================================================*/
+
+#if LPC8xx_SPI_USE_SPI0 || defined(__DOXYGEN__)
+/** @brief SPI1 driver identifier.*/
+SPIDriver SPID1;
+#endif
+
+#if LPC8xx_SPI_USE_SPI1 || defined(__DOXYGEN__)
+/** @brief SPI2 driver identifier.*/
+SPIDriver SPID2;
+#endif
+
+/*===========================================================================*/
+/* Driver local variables and types. */
+/*===========================================================================*/
+
+/*===========================================================================*/
+/* Driver local functions. */
+/*===========================================================================*/
+
+static void spi_load_txdata(SPIDriver *spip) {
+
+ LPC_SPI_TypeDef *spi = spip->spi;
+
+ if (--spip->txcnt == 0) {
+ spi->TXCTRL |= SPI_TXCTRL_EOT;
+ }
+
+ if (spip->txptr != NULL) {
+ if ((spi->TXCTRL & SPI_TXCTRL_FLEN_MASK) > SPI_TXCTRL_FLEN(8)) {
+ const uint16_t *p = spip->txptr;
+ spi->TXDAT = *p++;
+ spip->txptr = p;
+ }
+ else {
+ const uint8_t *p = spip->txptr;
+ spi->TXDAT = *p++;
+ spip->txptr = p;
+ }
+ }
+ else
+ spi->TXDAT = 0xffff;
+}
+
+/**
+ * @brief Common IRQ handler.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ */
+static void spi_serve_interrupt(SPIDriver *spip) {
+
+ LPC_SPI_TypeDef *spi = spip->spi;
+
+ if (spi->INTSTAT & (SPI_STAT_RXOV | SPI_STAT_TXUR)) {
+ /* The overflow condition should never happen becausepriority is given
+ to receive but a hook macro is provided anyway...*/
+ LPC8xx_SPI_ERROR_HOOK(spip);
+ spi->STAT = (SPI_STAT_RXOV | SPI_STAT_TXUR);
+ }
+
+ if (spi->INTSTAT & SPI_STAT_TXRDY) {
+ spi_load_txdata( spip );
+ }
+
+ if (spip->txcnt == 0) {
+ spi->INTENCLR = (SPI_STAT_TXRDY | SPI_STAT_TXUR);
+ }
+
+ if (spi->INTSTAT & SPI_STAT_RXRDY) {
+ if (spip->rxptr != NULL) {
+ if ((spi->TXCTRL & SPI_TXCTRL_FLEN_MASK) > SPI_TXCTRL_FLEN(8)) {
+ uint16_t *p = spip->rxptr;
+ *p++ = spi->RXDAT;
+ spip->rxptr = p;
+ }
+ else {
+ uint8_t *p = spip->rxptr;
+ *p++ = spi->RXDAT;
+ spip->rxptr = p;
+ }
+ }
+ else
+ (void)spi->RXDAT;
+
+ if (--spip->rxcnt == 0) {
+ chDbgAssert(spip->txcnt == 0,
+ "spi_serve_interrupt(), #1", "counter out of synch");
+ /* Stops the IRQ sources.*/
+ spi->INTENCLR = (SPI_STAT_RXRDY | SPI_STAT_TXRDY |
+ SPI_STAT_RXOV | SPI_STAT_TXUR);
+
+ /* Portable SPI ISR code defined in the high level driver, note, it is
+ a macro.*/
+ _spi_isr_code(spip);
+ return;
+ }
+ }
+
+}
+
+/*===========================================================================*/
+/* Driver interrupt handlers. */
+/*===========================================================================*/
+
+#if LPC8xx_SPI_USE_SPI0 || defined(__DOXYGEN__)
+/**
+ * @brief SPI0 interrupt handler.
+ *
+ * @isr
+ */
+CH_IRQ_HANDLER(Vector40) {
+
+ CH_IRQ_PROLOGUE();
+ spi_serve_interrupt(&SPID1);
+ CH_IRQ_EPILOGUE();
+}
+#endif
+
+#if LPC8xx_SPI_USE_SPI1 || defined(__DOXYGEN__)
+/**
+ * @brief SPI1 interrupt handler.
+ *
+ * @isr
+ */
+CH_IRQ_HANDLER(Vector44) {
+
+ CH_IRQ_PROLOGUE();
+ spi_serve_interrupt(&SPID2);
+ CH_IRQ_EPILOGUE();
+}
+#endif
+
+/*===========================================================================*/
+/* Driver exported functions. */
+/*===========================================================================*/
+
+/**
+ * @brief Low level SPI driver initialization.
+ *
+ * @notapi
+ */
+void spi_lld_init(void) {
+
+#if LPC8xx_SPI_USE_SPI0
+ spiObjectInit(&SPID1);
+ SPID1.spi = LPC_SPI0;
+#endif
+
+#if LPC8xx_SPI_USE_SPI1
+ spiObjectInit(&SPID2);
+ SPID2.spi = LPC_SPI1;
+#endif
+}
+
+/**
+ * @brief Configures and activates the SPI peripheral.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ *
+ * @notapi
+ */
+void spi_lld_start(SPIDriver *spip) {
+
+ if (spip->state == SPI_STOP) {
+#if LPC8xx_SPI_USE_SPI0
+ if (&SPID1 == spip) {
+ LPC_SYSCON->SYSAHBCLKCTRL |= (1<<11);
+ LPC_SYSCON->PRESETCTRL |= (1<<0);
+ spip->spi->DIV = LPC8xx_SPI_SPI0CLKDIV;
+ nvicEnableVector(SPI0_IRQn,
+ CORTEX_PRIORITY_MASK(LPC8xx_SPI_SPI0_IRQ_PRIORITY));
+ }
+#endif
+#if LPC8xx_SPI_USE_SPI1
+ if (&SPID2 == spip) {
+ LPC_SYSCON->SYSAHBCLKCTRL |= (1<<12);
+ LPC_SYSCON->PRESETCTRL |= (1<<1);
+ spip->spi->DIV = LPC8xx_SPI_SPI1CLKDIV;
+ nvicEnableVector(SPI1_IRQn,
+ CORTEX_PRIORITY_MASK(LPC8xx_SPI_SPI1_IRQ_PRIORITY));
+ }
+#endif
+ }
+
+ spip->spi->DLY = spip->config->dly;
+ spip->spi->TXCTRL = spip->config->txctrl;
+ spip->spi->STAT = (SPI_STAT_RXOV | SPI_STAT_TXUR);
+ spip->spi->CFG = spip->config->cfg | SPI_CFG_ENABLE;
+}
+
+/**
+ * @brief Deactivates the SPI peripheral.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ *
+ * @notapi
+ */
+void spi_lld_stop(SPIDriver *spip) {
+
+ if (spip->state != SPI_STOP) {
+ spip->spi->CFG = 0;
+
+#if LPC8xx_SPI_USE_SPI0
+ if (&SPID1 == spip) {
+ nvicDisableVector(SPI0_IRQn);
+ LPC_SYSCON->PRESETCTRL &= ~(1<<0);
+ LPC_SYSCON->SYSAHBCLKCTRL &= ~(1<<11);
+ }
+#endif
+
+#if LPC8xx_SPI_USE_SPI1
+ if (&SPID2 == spip) {
+ nvicDisableVector(SPI1_IRQn);
+ LPC_SYSCON->PRESETCTRL &= ~(1<<1);
+ LPC_SYSCON->SYSAHBCLKCTRL &= ~(1<<12);
+ }
+#endif
+
+ }
+}
+
+/**
+ * @brief Asserts the slave select signal and prepares for transfers.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ *
+ * @notapi
+ */
+void spi_lld_select(SPIDriver *spip) {
+ /* Hardware controls SSEL */
+ (void)spip;
+}
+
+/**
+ * @brief Deasserts the slave select signal.
+ * @details The previously selected peripheral is unselected.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ *
+ * @notapi
+ */
+void spi_lld_unselect(SPIDriver *spip) {
+ /* Hardware controls SSEL */
+ (void)spip;
+}
+
+/**
+ * @brief Exchanges data on the SPI bus.
+ * @details This asynchronous function starts a simultaneous transmit/receive
+ * operation.
+ * @post At the end of the operation the configured callback is invoked.
+ * @note The buffers are organized as uint8_t arrays for data sizes below or
+ * equal to 8 bits else it is organized as uint16_t arrays.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ * @param[in] n number of words to be exchanged
+ * @param[in] txbuf the pointer to the transmit buffer
+ * @param[out] rxbuf the pointer to the receive buffer
+ *
+ * @notapi
+ */
+void spi_lld_exchange(SPIDriver *spip, size_t n,
+ const void *txbuf, void *rxbuf) {
+
+ spip->rxptr = rxbuf;
+ spip->txptr = txbuf;
+ spip->rxcnt = spip->txcnt = n;
+ spip->spi->TXCTRL &= ~SPI_TXCTRL_EOT;
+ spi_load_txdata(spip);
+
+ if (spip->txcnt == 0)
+ spip->spi->INTENSET = (SPI_STAT_RXRDY | SPI_STAT_RXOV);
+ else
+ spip->spi->INTENSET = (SPI_STAT_RXRDY | SPI_STAT_TXRDY |
+ SPI_STAT_RXOV | SPI_STAT_TXUR);
+
+}
+
+/**
+ * @brief Ignores data on the SPI bus.
+ * @details This function transmits a series of idle words on the SPI bus and
+ * ignores the received data. This function can be invoked even
+ * when a slave select signal has not been yet asserted.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ * @param[in] n number of words to be ignored
+ *
+ * @notapi
+ */
+void spi_lld_ignore(SPIDriver *spip, size_t n) {
+
+ spi_lld_exchange( spip, n, NULL, NULL);
+}
+
+/**
+ * @brief Sends data over the SPI bus.
+ * @details This asynchronous function starts a transmit operation.
+ * @post At the end of the operation the configured callback is invoked.
+ * @note The buffers are organized as uint8_t arrays for data sizes below or
+ * equal to 8 bits else it is organized as uint16_t arrays.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ * @param[in] n number of words to send
+ * @param[in] txbuf the pointer to the transmit buffer
+ *
+ * @notapi
+ */
+void spi_lld_send(SPIDriver *spip, size_t n, const void *txbuf) {
+
+ spi_lld_exchange( spip, n, txbuf, NULL);
+}
+
+/**
+ * @brief Receives data from the SPI bus.
+ * @details This asynchronous function starts a receive operation.
+ * @post At the end of the operation the configured callback is invoked.
+ * @note The buffers are organized as uint8_t arrays for data sizes below or
+ * equal to 8 bits else it is organized as uint16_t arrays.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ * @param[in] n number of words to receive
+ * @param[out] rxbuf the pointer to the receive buffer
+ *
+ * @notapi
+ */
+void spi_lld_receive(SPIDriver *spip, size_t n, void *rxbuf) {
+
+ spi_lld_exchange( spip, n, NULL, rxbuf);
+}
+
+/**
+ * @brief Exchanges one frame using a polled wait.
+ * @details This synchronous function exchanges one frame using a polled
+ * synchronization method. This function is useful when exchanging
+ * small amount of data on high speed channels, usually in this
+ * situation is much more efficient just wait for completion using
+ * polling than suspending the thread waiting for an interrupt.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object
+ * @param[in] frame the data frame to send over the SPI bus
+ * @return The received data frame from the SPI bus.
+ */
+uint16_t spi_lld_polled_exchange(SPIDriver *spip, uint16_t frame) {
+
+ spip->spi->TXCTRL |= SPI_TXCTRL_EOT;
+ spip->spi->TXDAT = frame;
+ while ((spip->spi->STAT & SPI_STAT_RXRDY) == 0)
+ ;
+ return (uint16_t)spip->spi->RXDAT;
+}
+
+#endif /* HAL_USE_SPI */
+
+/** @} */
diff --git a/os/hal/platforms/LPC8xx/spi_lld.h b/os/hal/platforms/LPC8xx/spi_lld.h
new file mode 100644
index 000000000..4acd52547
--- /dev/null
+++ b/os/hal/platforms/LPC8xx/spi_lld.h
@@ -0,0 +1,285 @@
+/*
+ ChibiOS/RT - Copyright (C) 2006-2013 Giovanni Di Sirio
+
+ Licensed under the Apache License, Version 2.0 (the "License");
+ you may not use this file except in compliance with the License.
+ You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ See the License for the specific language governing permissions and
+ limitations under the License.
+*/
+
+/**
+ * @file LPC8xx/spi_lld.h
+ * @brief LPC8xx low level SPI driver header.
+ *
+ * @addtogroup SPI
+ * @{
+ */
+
+#ifndef _SPI_LLD_H_
+#define _SPI_LLD_H_
+
+#if HAL_USE_SPI || defined(__DOXYGEN__)
+
+/*===========================================================================*/
+/* Driver constants. */
+/*===========================================================================*/
+
+#define SPI_CFG_ENABLE (1<<0)
+#define SPI_CFG_MASTER (1<<2)
+#define SPI_CFG_LSBF (1<<3)
+#define SPI_CFG_CHPA (1<<4)
+#define SPI_CFG_CPOL (1<<5)
+#define SPI_CFG_LOOP (1<<7)
+#define SPI_CFG_SPOL (1<<8)
+
+#define SPI_DLY_PRE(n) (((n)&0x0f)<< 0)
+#define SPI_DLY_POST(n) (((n)&0x0f)<< 4)
+#define SPI_DLY_FRAME(n) (((n)&0x0f)<< 8)
+#define SPI_DLY_TFER(n) (((n)&0x0f)<<12)
+
+#define SPI_STAT_RXRDY (1<<0)
+#define SPI_STAT_TXRDY (1<<1)
+#define SPI_STAT_RXOV (1<<2)
+#define SPI_STAT_TXUR (1<<3)
+#define SPI_STAT_SSA (1<<4)
+#define SPI_STAT_SSD (1<<5)
+#define SPI_STAT_STALL (1<<6)
+#define SPI_STAT_EOT (1<<7)
+#define SPI_STAT_IDLE (1<<8)
+
+#define SPI_TXCTRL_TXSSELN (1<<16)
+#define SPI_TXCTRL_EOT (1<<20)
+#define SPI_TXCTRL_EOF (1<<21)
+#define SPI_TXCTRL_RXIGNORE (1<<22)
+#define SPI_TXCTRL_FLEN(n) (((n)-1)<<24)
+#define SPI_TXCTRL_FLEN_MASK (0x0f<<24)
+
+
+
+/*===========================================================================*/
+/* Driver pre-compile time settings. */
+/*===========================================================================*/
+
+/**
+ * @brief SPI1 driver enable switch.
+ * @details If set to @p TRUE the support for device SPI0 is included.
+ * @note The default is @p TRUE.
+ */
+#if !defined(LPC8xx_SPI_USE_SPI0) || defined(__DOXYGEN__)
+#define LPC8xx_SPI_USE_SPI0 TRUE
+#endif
+
+/**
+ * @brief SPI2 driver enable switch.
+ * @details If set to @p TRUE the support for device SPI1 is included.
+ * @note The default is @p TRUE.
+ */
+#if !defined(LPC8xx_SPI_USE_SPI1) || defined(__DOXYGEN__)
+#define LPC8xx_SPI_USE_SPI1 FALSE
+#endif
+
+/**
+ * @brief SPI0 PCLK divider.
+ */
+#if !defined(LPC8xx_SPI_SPI0CLKDIV) || defined(__DOXYGEN__)
+#define LPC8xx_SPI_SPI0CLKDIV 1
+#endif
+
+/**
+ * @brief SPI1 PCLK divider.
+ */
+#if !defined(LPC8xx_SPI_SPI1CLKDIV) || defined(__DOXYGEN__)
+#define LPC8xx_SPI_SPI1CLKDIV 1
+#endif
+
+/**
+ * @brief SPI0 interrupt priority level setting.
+ */
+#if !defined(LPC8xx_SPI_SPI0_IRQ_PRIORITY) || defined(__DOXYGEN__)
+#define LPC8xx_SPI_SPI0_IRQ_PRIORITY 1
+#endif
+
+/**
+ * @brief SPI1 interrupt priority level setting.
+ */
+#if !defined(LPC8xx_SPI_SPI1_IRQ_PRIORITY) || defined(__DOXYGEN__)
+#define LPC8xx_SPI_SPI1_IRQ_PRIORITY 1
+#endif
+
+/**
+ * @brief Overflow error hook.
+ * @details The default action is to stop the system.
+ */
+#if !defined(LPC8xx_SPI_ERROR_HOOK) || defined(__DOXYGEN__)
+#define LPC8xx_SPI_ERROR_HOOK(spip) chSysHalt()
+#endif
+
+/*===========================================================================*/
+/* Derived constants and error checks. */
+/*===========================================================================*/
+
+#if (LPC8xx_SPI_SPI0CLKDIV < 1) || (LPC8xx_SPI_SPI0CLKDIV > 255)
+#error "invalid LPC8xx_SPI_SSP0CLKDIV setting"
+#endif
+
+#if (LPC8xx_SPI_SPI1CLKDIV < 1) || (LPC8xx_SPI_SPI1CLKDIV > 255)
+#error "invalid LPC8xx_SPI_SSP1CLKDIV setting"
+#endif
+
+#if !LPC8xx_SPI_USE_SPI0 && !LPC8xx_SPI_USE_SPI1
+#error "SPI driver activated but no SPI peripheral assigned"
+#endif
+
+/**
+ * @brief SPI0 clock.
+ */
+#define LPC8xx_SPI_SPI0_PCLK \
+ (LPC8xx_SYSCLK / LPC8xx_SPI_SPI0CLKDIV)
+
+/**
+ * @brief SPI1 clock.
+ */
+#define LPC8xx_SPI_SPI1_PCLK \
+ (LPC8xx_SYSCLK / LPC8xx_SPI_SPI1CLKDIV)
+
+/*===========================================================================*/
+/* Driver data structures and types. */
+/*===========================================================================*/
+
+/**
+ * @brief Type of a structure representing an SPI driver.
+ */
+typedef struct SPIDriver SPIDriver;
+
+/**
+ * @brief SPI notification callback type.
+ *
+ * @param[in] spip pointer to the @p SPIDriver object triggering the
+ * callback
+ */
+typedef void (*spicallback_t)(SPIDriver *spip);
+
+/**
+ * @brief Driver configuration structure.
+ */
+typedef struct {
+ /**
+ * @brief Operation complete callback or @p NULL.
+ */
+ spicallback_t end_cb;
+ /* End of the mandatory fields.*/
+
+ /**
+ * @brief SPI CFG initialization data.
+ */
+ uint16_t cfg;
+ /**
+ * @brief SPI DLY initialization data.
+ */
+ uint16_t dly;
+ /**
+ * @brief SPI TXCTRL initialization data.
+ */
+ uint32_t txctrl;
+} SPIConfig;
+
+/**
+ * @brief Structure representing a SPI driver.
+ */
+struct SPIDriver {
+ /**
+ * @brief Driver state.
+ */
+ spistate_t state;
+ /**
+ * @brief Current configuration data.
+ */
+ const SPIConfig *config;
+#if SPI_USE_WAIT || defined(__DOXYGEN__)
+ /**
+ * @brief Waiting thread.
+ */
+ Thread *thread;
+#endif /* SPI_USE_WAIT */
+#if SPI_USE_MUTUAL_EXCLUSION || defined(__DOXYGEN__)
+#if CH_USE_MUTEXES || defined(__DOXYGEN__)
+ /**
+ * @brief Mutex protecting the bus.
+ */
+ Mutex mutex;
+#elif CH_USE_SEMAPHORES
+ Semaphore semaphore;
+#endif
+#endif /* SPI_USE_MUTUAL_EXCLUSION */
+#if defined(SPI_DRIVER_EXT_FIELDS)
+ SPI_DRIVER_EXT_FIELDS
+#endif
+ /* End of the mandatory fields.*/
+ /**
+ * @brief Pointer to the SPI registers block.
+ */
+ LPC_SPI_TypeDef *spi;
+ /**
+ * @brief Number of words yet to be received.
+ */
+ uint32_t rxcnt;
+ /**
+ * @brief Receive pointer or @p NULL.
+ */
+ void *rxptr;
+ /**
+ * @brief Number of words yet to be transmitted.
+ */
+ uint32_t txcnt;
+ /**
+ * @brief Transmit pointer or @p NULL.
+ */
+ const void *txptr;
+};
+
+/*===========================================================================*/
+/* Driver macros. */
+/*===========================================================================*/
+
+/*===========================================================================*/
+/* External declarations. */
+/*===========================================================================*/
+
+#if LPC8xx_SPI_USE_SPI0 && !defined(__DOXYGEN__)
+extern SPIDriver SPID1;
+#endif
+
+#if LPC8xx_SPI_USE_SPI1 && !defined(__DOXYGEN__)
+extern SPIDriver SPID2;
+#endif
+
+#ifdef __cplusplus
+extern "C" {
+#endif
+ void spi_lld_init(void);
+ void spi_lld_start(SPIDriver *spip);
+ void spi_lld_stop(SPIDriver *spip);
+ void spi_lld_select(SPIDriver *spip);
+ void spi_lld_unselect(SPIDriver *spip);
+ void spi_lld_ignore(SPIDriver *spip, size_t n);
+ void spi_lld_exchange(SPIDriver *spip, size_t n,
+ const void *txbuf, void *rxbuf);
+ void spi_lld_send(SPIDriver *spip, size_t n, const void *txbuf);
+ void spi_lld_receive(SPIDriver *spip, size_t n, void *rxbuf);
+ uint16_t spi_lld_polled_exchange(SPIDriver *spip, uint16_t frame);
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* HAL_USE_SPI */
+
+#endif /* _SPI_LLD_H_ */
+
+/** @} */