summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-15 03:34:07 +0100
committerJames <james.mckenzie@citrix.com>2013-10-15 03:34:07 +0100
commit00445ec81165d3c8ee5f82118e5150e8ecb75983 (patch)
treef38fcbf521fb95d0d702a527cc4f48f8011e9ede
parentb967534fc08b31e686d7f78be7d9d773fedab2f9 (diff)
downloadsdram_test-00445ec81165d3c8ee5f82118e5150e8ecb75983.tar.gz
sdram_test-00445ec81165d3c8ee5f82118e5150e8ecb75983.tar.bz2
sdram_test-00445ec81165d3c8ee5f82118e5150e8ecb75983.zip
reset_base
-rw-r--r--sdram_test_mcu.qsys34
1 files changed, 17 insertions, 17 deletions
diff --git a/sdram_test_mcu.qsys b/sdram_test_mcu.qsys
index 9cb0164..b67f5f2 100644
--- a/sdram_test_mcu.qsys
+++ b/sdram_test_mcu.qsys
@@ -24,7 +24,7 @@
{
datum baseAddress
{
- value = "172128";
+ value = "139360";
type = "String";
}
}
@@ -48,7 +48,7 @@
{
datum baseAddress
{
- value = "167936";
+ value = "135168";
type = "String";
}
}
@@ -69,7 +69,7 @@
{
datum baseAddress
{
- value = "169984";
+ value = "137216";
type = "String";
}
}
@@ -101,7 +101,7 @@
{
datum baseAddress
{
- value = "172064";
+ value = "139296";
type = "String";
}
}
@@ -109,7 +109,7 @@
{
datum baseAddress
{
- value = "172112";
+ value = "139344";
type = "String";
}
}
@@ -136,7 +136,7 @@
<parameter name="projectName" value="sdram_test.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="1" />
- <parameter name="timeStamp" value="1381803728798" />
+ <parameter name="timeStamp" value="1381803880507" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" />
@@ -262,8 +262,8 @@
<parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
- <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29000' end='0x29800' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /></address-map>]]></parameter>
- <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29000' end='0x29800' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x2A060' end='0x2A068' /></address-map>]]></parameter>
+ <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x21000' end='0x21800' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x21800' end='0x22000' /><slave name='timer_0.s1' start='0x22020' end='0x22040' /><slave name='pio_0.s1' start='0x22050' end='0x22060' /></address-map>]]></parameter>
+ <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x21000' end='0x21800' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x21800' end='0x22000' /><slave name='timer_0.s1' start='0x22020' end='0x22040' /><slave name='pio_0.s1' start='0x22050' end='0x22060' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x22060' end='0x22068' /></address-map>]]></parameter>
<parameter name="clockFrequency" value="80000000" />
<parameter name="deviceFamilyName" value="Cyclone II" />
<parameter name="internalIrqMaskSystemInfo" value="7" />
@@ -353,7 +353,7 @@
start="nios2_qsys_0.instruction_master"
end="nios2_qsys_0.jtag_debug_module">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00029800" />
+ <parameter name="baseAddress" value="0x00021800" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -362,7 +362,7 @@
start="nios2_qsys_0.data_master"
end="nios2_qsys_0.jtag_debug_module">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00029800" />
+ <parameter name="baseAddress" value="0x00021800" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -397,7 +397,7 @@
start="nios2_qsys_0.instruction_master"
end="epcs_flash_controller_0.epcs_control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00029000" />
+ <parameter name="baseAddress" value="0x00021000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -406,7 +406,7 @@
start="nios2_qsys_0.data_master"
end="epcs_flash_controller_0.epcs_control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00029000" />
+ <parameter name="baseAddress" value="0x00021000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection kind="clock" version="13.0" start="clk_0.clk" end="timer_0.clk" />
@@ -426,7 +426,7 @@
start="nios2_qsys_0.data_master"
end="timer_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a020" />
+ <parameter name="baseAddress" value="0x00022020" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -435,7 +435,7 @@
start="nios2_qsys_0.instruction_master"
end="timer_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a020" />
+ <parameter name="baseAddress" value="0x00022020" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection kind="clock" version="13.0" start="clk_0.clk" end="pio_0.clk" />
@@ -446,7 +446,7 @@
start="nios2_qsys_0.data_master"
end="pio_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a050" />
+ <parameter name="baseAddress" value="0x00022050" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -455,7 +455,7 @@
start="nios2_qsys_0.instruction_master"
end="pio_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a050" />
+ <parameter name="baseAddress" value="0x00022050" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -480,7 +480,7 @@
start="nios2_qsys_0.data_master"
end="jtag_uart_0.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a060" />
+ <parameter name="baseAddress" value="0x00022060" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection