summaryrefslogtreecommitdiffstats
path: root/sdram_util.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'sdram_util.vhd')
-rw-r--r--sdram_util.vhd1
1 files changed, 1 insertions, 0 deletions
diff --git a/sdram_util.vhd b/sdram_util.vhd
index 23c1d1e..7dfcb47 100644
--- a/sdram_util.vhd
+++ b/sdram_util.vhd
@@ -6,6 +6,7 @@ package sdram_util is
subtype uint3_t is integer range 0 to 7;
subtype uint4_t is integer range 0 to 15;
+subtype uint8_t is integer range 0 to 255;
subtype uint13_t is integer range 0 to 8191;
subtype cs_n_t is std_logic_vector(0 downto 0);
subtype addr_t is std_logic_vector(23 downto 0);