summaryrefslogtreecommitdiffstats
path: root/sdram_mcu.qsys
diff options
context:
space:
mode:
Diffstat (limited to 'sdram_mcu.qsys')
-rw-r--r--sdram_mcu.qsys48
1 files changed, 24 insertions, 24 deletions
diff --git a/sdram_mcu.qsys b/sdram_mcu.qsys
index 0764db1..6de6dcb 100644
--- a/sdram_mcu.qsys
+++ b/sdram_mcu.qsys
@@ -24,7 +24,7 @@
{
datum baseAddress
{
- value = "155752";
+ value = "172128";
type = "String";
}
}
@@ -48,7 +48,7 @@
{
datum baseAddress
{
- value = "153600";
+ value = "169984";
type = "String";
}
}
@@ -69,7 +69,7 @@
{
datum baseAddress
{
- value = "151552";
+ value = "167936";
type = "String";
}
}
@@ -105,27 +105,27 @@
type = "int";
}
}
- element onchip_memory2_0.s1
+ element pio_0.s1
{
datum baseAddress
{
- value = "139264";
+ value = "172112";
type = "String";
}
}
- element timer_0.s1
+ element onchip_memory2_0.s1
{
datum baseAddress
{
- value = "155680";
+ value = "147456";
type = "String";
}
}
- element pio_0.s1
+ element timer_0.s1
{
datum baseAddress
{
- value = "155728";
+ value = "172064";
type = "String";
}
}
@@ -152,7 +152,7 @@
<parameter name="projectName" value="sdram.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="1" />
- <parameter name="timeStamp" value="1381664019246" />
+ <parameter name="timeStamp" value="1381664865183" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" />
@@ -278,8 +278,8 @@
<parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
- <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x22000' end='0x24000' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x25000' end='0x25800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x25800' end='0x26000' /><slave name='timer_0.s1' start='0x26020' end='0x26040' /><slave name='pio_0.s1' start='0x26050' end='0x26060' /></address-map>]]></parameter>
- <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x22000' end='0x24000' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x25000' end='0x25800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x25800' end='0x26000' /><slave name='timer_0.s1' start='0x26020' end='0x26040' /><slave name='pio_0.s1' start='0x26050' end='0x26060' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x26068' end='0x26070' /></address-map>]]></parameter>
+ <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /></address-map>]]></parameter>
+ <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x2A060' end='0x2A068' /></address-map>]]></parameter>
<parameter name="clockFrequency" value="80000000" />
<parameter name="deviceFamilyName" value="Cyclone II" />
<parameter name="internalIrqMaskSystemInfo" value="7" />
@@ -368,7 +368,7 @@
<parameter name="initMemContent" value="true" />
<parameter name="initializationFileName" value="onchip_mem.hex" />
<parameter name="instanceID" value="NONE" />
- <parameter name="memorySize" value="8192" />
+ <parameter name="memorySize" value="12000" />
<parameter name="readDuringWriteMode" value="DONT_CARE" />
<parameter name="simAllowMRAMContentsFile" value="false" />
<parameter name="simMemInitOnlyFilename" value="0" />
@@ -421,7 +421,7 @@
start="nios2_qsys_0.data_master"
end="epcs_flash_controller_0.epcs_control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00025800" />
+ <parameter name="baseAddress" value="0x00029800" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection kind="clock" version="13.0" start="clk_0.clk" end="timer_0.clk" />
@@ -443,7 +443,7 @@
start="nios2_qsys_0.data_master"
end="pio_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00026050" />
+ <parameter name="baseAddress" value="0x0002a050" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -468,7 +468,7 @@
start="nios2_qsys_0.data_master"
end="jtag_uart_0.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00026068" />
+ <parameter name="baseAddress" value="0x0002a060" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -513,7 +513,7 @@
start="nios2_qsys_0.data_master"
end="onchip_memory2_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00022000" />
+ <parameter name="baseAddress" value="0x00024000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -522,7 +522,7 @@
start="nios2_qsys_0.instruction_master"
end="onchip_memory2_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00022000" />
+ <parameter name="baseAddress" value="0x00024000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -531,7 +531,7 @@
start="nios2_qsys_0.instruction_master"
end="nios2_qsys_0.jtag_debug_module">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00025000" />
+ <parameter name="baseAddress" value="0x00029000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -540,7 +540,7 @@
start="nios2_qsys_0.data_master"
end="nios2_qsys_0.jtag_debug_module">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00025000" />
+ <parameter name="baseAddress" value="0x00029000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -549,7 +549,7 @@
start="nios2_qsys_0.instruction_master"
end="epcs_flash_controller_0.epcs_control_port">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00025800" />
+ <parameter name="baseAddress" value="0x00029800" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -558,7 +558,7 @@
start="nios2_qsys_0.instruction_master"
end="timer_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00026020" />
+ <parameter name="baseAddress" value="0x0002a020" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -567,7 +567,7 @@
start="nios2_qsys_0.data_master"
end="timer_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00026020" />
+ <parameter name="baseAddress" value="0x0002a020" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -576,7 +576,7 @@
start="nios2_qsys_0.instruction_master"
end="pio_0.s1">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x00026050" />
+ <parameter name="baseAddress" value="0x0002a050" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection