summaryrefslogtreecommitdiffstats
path: root/sdram_mcu.qsys
diff options
context:
space:
mode:
Diffstat (limited to 'sdram_mcu.qsys')
-rw-r--r--sdram_mcu.qsys32
1 files changed, 16 insertions, 16 deletions
diff --git a/sdram_mcu.qsys b/sdram_mcu.qsys
index 5f8c178..f2d8f4e 100644
--- a/sdram_mcu.qsys
+++ b/sdram_mcu.qsys
@@ -105,27 +105,27 @@
type = "int";
}
}
- element pio_0.s1
+ element onchip_memory2_0.s1
{
datum baseAddress
{
- value = "172112";
+ value = "147456";
type = "String";
}
}
- element timer_0.s1
+ element pio_0.s1
{
datum baseAddress
{
- value = "172064";
+ value = "172112";
type = "String";
}
}
- element onchip_memory2_0.s1
+ element timer_0.s1
{
datum baseAddress
{
- value = "147456";
+ value = "172064";
type = "String";
}
}
@@ -152,7 +152,7 @@
<parameter name="projectName" value="sdram.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="1" />
- <parameter name="timeStamp" value="1381666549691" />
+ <parameter name="timeStamp" value="1381759077868" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" />
@@ -580,6 +580,15 @@
<parameter name="defaultConnection" value="false" />
</connection>
<connection
+ kind="avalon"
+ version="13.0"
+ start="nios2_qsys_0.instruction_master"
+ end="jtag_uart_0.avalon_jtag_slave">
+ <parameter name="arbitrationPriority" value="1" />
+ <parameter name="baseAddress" value="0x0002a060" />
+ <parameter name="defaultConnection" value="false" />
+ </connection>
+ <connection
kind="clock"
version="13.0"
start="clk_0.clk"
@@ -612,15 +621,6 @@
<parameter name="baseAddress" value="0x00010000" />
<parameter name="defaultConnection" value="false" />
</connection>
- <connection
- kind="avalon"
- version="13.0"
- start="nios2_qsys_0.instruction_master"
- end="jtag_uart_0.avalon_jtag_slave">
- <parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a060" />
- <parameter name="defaultConnection" value="false" />
- </connection>
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
<interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="1" />
</system>