summaryrefslogtreecommitdiffstats
path: root/sdram_mcu.qsys
diff options
context:
space:
mode:
Diffstat (limited to 'sdram_mcu.qsys')
-rw-r--r--sdram_mcu.qsys86
1 files changed, 11 insertions, 75 deletions
diff --git a/sdram_mcu.qsys b/sdram_mcu.qsys
index ef018be..dabb9ba 100644
--- a/sdram_mcu.qsys
+++ b/sdram_mcu.qsys
@@ -16,7 +16,7 @@
{
datum _sortIndex
{
- value = "7";
+ value = "6";
type = "int";
}
}
@@ -24,7 +24,7 @@
{
datum baseAddress
{
- value = "172128";
+ value = "172104";
type = "String";
}
}
@@ -77,7 +77,7 @@
{
datum _sortIndex
{
- value = "5";
+ value = "4";
type = "int";
}
}
@@ -93,26 +93,10 @@
{
datum _sortIndex
{
- value = "6";
- type = "int";
- }
- }
- element pio_0
- {
- datum _sortIndex
- {
- value = "4";
+ value = "5";
type = "int";
}
}
- element onchip_memory2_0.s1
- {
- datum baseAddress
- {
- value = "147456";
- type = "String";
- }
- }
element timer_0.s1
{
datum baseAddress
@@ -121,11 +105,11 @@
type = "String";
}
}
- element pio_0.s1
+ element onchip_memory2_0.s1
{
datum baseAddress
{
- value = "172112";
+ value = "147456";
type = "String";
}
}
@@ -152,17 +136,12 @@
<parameter name="projectName" value="sdram.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="1" />
- <parameter name="timeStamp" value="1381760107235" />
+ <parameter name="timeStamp" value="1381769366909" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" />
<interface name="reset" internal="clk_0.clk_in_reset" type="reset" dir="end" />
<interface
- name="pio_0_d"
- internal="pio_0.external_connection"
- type="conduit"
- dir="end" />
- <interface
name="ebb_0"
internal="async_8bit_bus_adapter_0.eight_bit_bus"
type="conduit"
@@ -278,8 +257,8 @@
<parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
- <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x2A060' end='0x2A068' /></address-map>]]></parameter>
- <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='pio_0.s1' start='0x2A050' end='0x2A060' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x2A060' end='0x2A068' /></address-map>]]></parameter>
+ <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x2A048' end='0x2A050' /></address-map>]]></parameter>
+ <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='async_8bit_bus_adapter_0.avalon_slave' start='0x10000' end='0x20000' /><slave name='onchip_memory2_0.s1' start='0x24000' end='0x26EE0' /><slave name='nios2_qsys_0.jtag_debug_module' start='0x29000' end='0x29800' /><slave name='epcs_flash_controller_0.epcs_control_port' start='0x29800' end='0x2A000' /><slave name='timer_0.s1' start='0x2A020' end='0x2A040' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x2A048' end='0x2A050' /></address-map>]]></parameter>
<parameter name="clockFrequency" value="80000000" />
<parameter name="deviceFamilyName" value="Cyclone II" />
<parameter name="internalIrqMaskSystemInfo" value="7" />
@@ -321,24 +300,6 @@
<parameter name="systemFrequency" value="80000000" />
</module>
<module
- kind="altera_avalon_pio"
- version="13.0.1.99.2"
- enabled="1"
- name="pio_0">
- <parameter name="bitClearingEdgeCapReg" value="false" />
- <parameter name="bitModifyingOutReg" value="false" />
- <parameter name="captureEdge" value="false" />
- <parameter name="direction" value="Output" />
- <parameter name="edgeType" value="RISING" />
- <parameter name="generateIRQ" value="false" />
- <parameter name="irqType" value="LEVEL" />
- <parameter name="resetValue" value="0" />
- <parameter name="simDoTestBenchWiring" value="false" />
- <parameter name="simDrivenValue" value="0" />
- <parameter name="width" value="8" />
- <parameter name="clockRate" value="80000000" />
- </module>
- <module
kind="altera_avalon_jtag_uart"
version="13.0.1.99.2"
enabled="1"
@@ -435,22 +396,6 @@
version="13.0"
start="nios2_qsys_0.jtag_debug_module_reset"
end="timer_0.reset" />
- <connection kind="clock" version="13.0" start="clk_0.clk" end="pio_0.clk" />
- <connection kind="reset" version="13.0" start="clk_0.clk_reset" end="pio_0.reset" />
- <connection
- kind="avalon"
- version="13.0"
- start="nios2_qsys_0.data_master"
- end="pio_0.s1">
- <parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a050" />
- <parameter name="defaultConnection" value="false" />
- </connection>
- <connection
- kind="reset"
- version="13.0"
- start="nios2_qsys_0.jtag_debug_module_reset"
- end="pio_0.reset" />
<connection kind="clock" version="13.0" start="clk_0.clk" end="jtag_uart_0.clk" />
<connection
kind="reset"
@@ -468,7 +413,7 @@
start="nios2_qsys_0.data_master"
end="jtag_uart_0.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a060" />
+ <parameter name="baseAddress" value="0x0002a048" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
@@ -574,18 +519,9 @@
kind="avalon"
version="13.0"
start="nios2_qsys_0.instruction_master"
- end="pio_0.s1">
- <parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a050" />
- <parameter name="defaultConnection" value="false" />
- </connection>
- <connection
- kind="avalon"
- version="13.0"
- start="nios2_qsys_0.instruction_master"
end="jtag_uart_0.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
- <parameter name="baseAddress" value="0x0002a060" />
+ <parameter name="baseAddress" value="0x0002a048" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection