summaryrefslogtreecommitdiffstats
path: root/sdram.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'sdram.vhd')
-rw-r--r--sdram.vhd7
1 files changed, 3 insertions, 4 deletions
diff --git a/sdram.vhd b/sdram.vhd
index 557d3b0..7e31b5b 100644
--- a/sdram.vhd
+++ b/sdram.vhd
@@ -55,8 +55,7 @@ component sdram_mcu is
);
end component sdram_mcu;
-
-entity sdram_ctrl is
+component sdram_ctrl is
port
(
clock_100 : in std_logic;
@@ -86,7 +85,7 @@ entity sdram_ctrl is
sdram_dq : inout data_t;
sdram_dqm : out dqm_t
);
-end entity;
+end component;
signal b_addr : addr_t;
@@ -121,7 +120,7 @@ begin
ebb_0_rnw => b_rnw, -- .rnw
ebb_0_wait_n => b_wait_n, -- .wait_n
ebb_0_addr => b_addr, -- .addr
- ebb_0_data_in => b_data_in8 -- .data
+ ebb_0_data_in => b_data_in8, -- .data
ebb_0_data_out => b_data_out8 -- .data
);