summaryrefslogtreecommitdiffstats
path: root/sdram.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'sdram.vhd')
-rw-r--r--sdram.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/sdram.vhd b/sdram.vhd
index c0cb4ef..f363ea3 100644
--- a/sdram.vhd
+++ b/sdram.vhd
@@ -165,7 +165,7 @@ begin
sdram_ctrl0: sdram_ctrl port map (
- clock_100 => clock_100,
+ clock_100 => clock_50,
reset_n => b_reset_n,
bus_cs_n => b_cs_n,