summaryrefslogtreecommitdiffstats
path: root/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl~
diff options
context:
space:
mode:
Diffstat (limited to 'async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl~')
-rw-r--r--async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl~7
1 files changed, 3 insertions, 4 deletions
diff --git a/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl~ b/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl~
index 5171d01..19ea7ae 100644
--- a/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl~
+++ b/async_8bit_bus_adapter_hw/async_8bit_bus_adapter_hw.tcl~
@@ -1,11 +1,11 @@
# TCL File Generated by Component Editor 13.0sp1
-# Mon Oct 14 15:01:25 BST 2013
+# Mon Oct 14 15:03:03 BST 2013
# DO NOT MODIFY
#
# async_8bit_bus_adapter "async_8bit_bus_adapter" v1.0
-# 2013.10.14.15:01:25
+# 2013.10.14.15:03:03
#
#
@@ -133,8 +133,7 @@ set_interface_property eight_bit_bus PORT_NAME_MAP ""
set_interface_property eight_bit_bus SVD_ADDRESS_GROUP ""
add_interface_port eight_bit_bus b_cs_n export Output 1
-add_interface_port eight_bit_bus b_rd_n export Output 1
-add_interface_port eight_bit_bus b_wr_n export Output 1
+add_interface_port eight_bit_bus b_rnw export Output 1
add_interface_port eight_bit_bus b_wait_n export Input 1
add_interface_port eight_bit_bus b_addr export Output 16
add_interface_port eight_bit_bus b_data_in export Input 8