summaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile19
1 files changed, 11 insertions, 8 deletions
diff --git a/Makefile b/Makefile
index 9808757..39efba2 100644
--- a/Makefile
+++ b/Makefile
@@ -1,8 +1,7 @@
PROJ=sdram
SRCS=$(wildcard *.vhd *.v *.qsf *.qpf )
-SRCS += $(shell find DM9000A -type f -print )
-SRCS += $(shell find GPU -type f -print )
+SRCS += $(shell find async_8bit_bus_adapter_hw -type f -print )
BSP_DIR=bsp
QSYS=sdram_mcu
@@ -36,15 +35,19 @@ fit.stamp: ans.stamp
tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
-ans.stamp: ${SOPC_FILE} source.stamp
+ans.stamp: source.stamp
+#ans.stamp: source.stamp ${SOPC_FILE}
tools/wrap quartus_map --read_settings_files=on --write_settings_files=off ${PROJ} -c ${PROJ}
touch $@
+
+${SOPC_FILE}:ans.stamp
-${SOPC_FILE}:source.stamp
- rm -rf ${QSYS}
- tools/wrap ip-generate --project-directory=. --output-directory=${QSYS}/synthesis --file-set=QUARTUS_SYNTH --report-file=sopcinfo:${SOPC_FILE} --report-file=html:${QSYS}.html --report-file=qip:${QSYS}.qip --report-file=cmp:${QSYS}.cmp --report-file=svd --system-info=DEVICE_FAMILY="Cyclone II" --system-info=DEVICE=EP2C8Q208C8 --system-info=DEVICE_SPEEDGRADE=8 --component-file=${QSYS}.qsys --language=VHDL
+#${SOPC_FILE}:${QSYS}.qsys
+# rm -rf ${QSYS}
+# tools/wrap ip-generate --project-directory=. --output-directory=${QSYS}/synthesis --file-set=QUARTUS_SYNTH --report-file=sopcinfo:${SOPC_FILE} --report-file=html:${QSYS}.html --report-file=qip:${QSYS}.qip --report-file=cmp:${QSYS}.cmp --report-file=svd --system-info=DEVICE_FAMILY="Cyclone II" --system-info=DEVICE=EP2C8Q208C8 --system-info=DEVICE_SPEEDGRADE=8 --component-file=${QSYS}.qsys --language=VHDL
+#
-source.stamp:${SRC}
+source.stamp:${SRCS}
touch source.stamp
${ELF}: src/Makefile
@@ -101,7 +104,7 @@ clean:
/bin/rm -rf ${BSP_DIR} db incremental_db src/obj ${QSYS}
/bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws *.pof *.done *.cmp *.qdi *.bsf
/bin/rm -f src/${PROJ}.objdump src/${PROJ}.map
- /bin/rm -f sopc_builder_log.txt
+ /bin/rm -f sopc_builder_log.txt *.qip *.smsg