summaryrefslogtreecommitdiffstats
path: root/tools
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-13 12:40:04 +0100
committerJames <james.mckenzie@citrix.com>2013-10-13 12:40:04 +0100
commit7fbec04d3aaca8909f89b325e125e46fe218e3be (patch)
treea68fdbffc4e1e5afbf432050dcc149377db4ae60 /tools
parent4b11bace5f256d07655817ff110dfef2ac9e36e8 (diff)
downloadsdram-7fbec04d3aaca8909f89b325e125e46fe218e3be.tar.gz
sdram-7fbec04d3aaca8909f89b325e125e46fe218e3be.tar.bz2
sdram-7fbec04d3aaca8909f89b325e125e46fe218e3be.zip
fish
Diffstat (limited to 'tools')
-rwxr-xr-xtools/wrap15
1 files changed, 15 insertions, 0 deletions
diff --git a/tools/wrap b/tools/wrap
new file mode 100755
index 0000000..26a0640
--- /dev/null
+++ b/tools/wrap
@@ -0,0 +1,15 @@
+#!/bin/bash
+
+AD=/software/apps/altera/quartus_ii_13.0sp1
+if [ $(uname -m ) == "x86_64" ]; then
+ LL=linux64
+else
+ LL=linux
+fi
+QUARTUS_ROOTDIR="${AD}/quartus"
+PATH="${AD}/quartus/bin:${AD}/quartus/sopc_builder/bin:${AD}/nios2eds/sdk2/bin:${AD}/nios2eds/bin:${AD}/nios2eds/bin/gnu/H-i686-pc-linux-gnu/bin:${PATH}"
+LD_LIBRARY_PATH="${AD}/quartus/${LL}:${LD_LIBRARY_PATH}"
+
+export LD_LIBRARY_PATH PATH QUARTUS_ROOTDIR
+
+"$@"