summaryrefslogtreecommitdiffstats
path: root/sdram_mcu.qsys
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-14 15:17:08 +0100
committerJames <james.mckenzie@citrix.com>2013-10-14 15:17:08 +0100
commitdee10e9511e5d21ae1c4c02b6b786850b3013b88 (patch)
tree47d0f7dce19fe8b7d44ce74129beb1a5d79d10fa /sdram_mcu.qsys
parent72b35b0e4d9f08c4f69d40beae04da1cc8df3c9d (diff)
downloadsdram-dee10e9511e5d21ae1c4c02b6b786850b3013b88.tar.gz
sdram-dee10e9511e5d21ae1c4c02b6b786850b3013b88.tar.bz2
sdram-dee10e9511e5d21ae1c4c02b6b786850b3013b88.zip
fish
Diffstat (limited to 'sdram_mcu.qsys')
-rw-r--r--sdram_mcu.qsys10
1 files changed, 5 insertions, 5 deletions
diff --git a/sdram_mcu.qsys b/sdram_mcu.qsys
index f2d8f4e..ef018be 100644
--- a/sdram_mcu.qsys
+++ b/sdram_mcu.qsys
@@ -113,19 +113,19 @@
type = "String";
}
}
- element pio_0.s1
+ element timer_0.s1
{
datum baseAddress
{
- value = "172112";
+ value = "172064";
type = "String";
}
}
- element timer_0.s1
+ element pio_0.s1
{
datum baseAddress
{
- value = "172064";
+ value = "172112";
type = "String";
}
}
@@ -152,7 +152,7 @@
<parameter name="projectName" value="sdram.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="1" />
- <parameter name="timeStamp" value="1381759077868" />
+ <parameter name="timeStamp" value="1381760107235" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" />