summaryrefslogtreecommitdiffstats
path: root/sdram_ctrl.vhd
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-13 12:40:04 +0100
committerJames <james.mckenzie@citrix.com>2013-10-13 12:40:04 +0100
commit7fbec04d3aaca8909f89b325e125e46fe218e3be (patch)
treea68fdbffc4e1e5afbf432050dcc149377db4ae60 /sdram_ctrl.vhd
parent4b11bace5f256d07655817ff110dfef2ac9e36e8 (diff)
downloadsdram-7fbec04d3aaca8909f89b325e125e46fe218e3be.tar.gz
sdram-7fbec04d3aaca8909f89b325e125e46fe218e3be.tar.bz2
sdram-7fbec04d3aaca8909f89b325e125e46fe218e3be.zip
fish
Diffstat (limited to 'sdram_ctrl.vhd')
-rw-r--r--sdram_ctrl.vhd49
1 files changed, 49 insertions, 0 deletions
diff --git a/sdram_ctrl.vhd b/sdram_ctrl.vhd
new file mode 100644
index 0000000..1c93b13
--- /dev/null
+++ b/sdram_ctrl.vhd
@@ -0,0 +1,49 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+entity sdram_ctrl is
+port
+(
+ clock_50 : in std_logic;
+ reset_n : in std_logic;
+
+ b_cs_n : in std_logic;
+ b_rd_n : in std_logic;
+ b_wr_n : in std_logic;
+
+ b_wait_n : out std_logic;
+
+ b_addr : in std_logic_vector(15 downto 0);
+ b_data : inout std_logic_vector(7 downto 0);
+
+
+ sdram_clk : out std_logic;
+
+ sdram_cs_n : out std_logic;
+ sdram_cas_n : out std_logic;
+ sdram_ras_n : out std_logic;
+ sdram_we_n : out std_logic;
+ sdram_cke : out std_logic;
+
+ sdram_addr : out std_logic_vector(12 downto 0);
+ sdram_ba : out std_logic_vector(1 downto 0);
+
+ sdram_dq : inout std_logic_vector(15 downto 0);
+ sdram_dqm : out std_logic_vector(1 downto 0)
+);
+end entity;
+
+architecture rtl of sdram_ctrl is
+
+
+begin
+
+sdram_clk <=clock_50;
+
+end;
+
+
+
+
+