summaryrefslogtreecommitdiffstats
path: root/pong3.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'pong3.qsf')
-rw-r--r--pong3.qsf15
1 files changed, 8 insertions, 7 deletions
diff --git a/pong3.qsf b/pong3.qsf
index 0523c4d..f8ef54f 100644
--- a/pong3.qsf
+++ b/pong3.qsf
@@ -48,13 +48,13 @@ set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 208
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
-set_location_assignment PIN_40 -to seg[6]
-set_location_assignment PIN_37 -to seg[5]
-set_location_assignment PIN_43 -to seg[4]
-set_location_assignment PIN_44 -to seg[3]
-set_location_assignment PIN_39 -to seg[2]
-set_location_assignment PIN_35 -to seg[1]
-set_location_assignment PIN_34 -to seg[0]
+set_location_assignment PIN_40 -to seven_seg[6]
+set_location_assignment PIN_37 -to seven_seg[5]
+set_location_assignment PIN_43 -to seven_seg[4]
+set_location_assignment PIN_44 -to seven_seg[3]
+set_location_assignment PIN_39 -to seven_seg[2]
+set_location_assignment PIN_35 -to seven_seg[1]
+set_location_assignment PIN_34 -to seven_seg[0]
set_location_assignment PIN_23 -to clk
set_location_assignment PIN_27 -to rst_n
set_location_assignment PIN_92 -to sdram_addr[12]
@@ -148,4 +148,5 @@ set_global_assignment -name PIN_FILE pong3.pin
set_global_assignment -name VERILOG_FILE pong3.v
set_global_assignment -name QSYS_FILE my_sys.qsys
+
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file