summaryrefslogtreecommitdiffstats
path: root/hardware/software/pong3_bsp
diff options
context:
space:
mode:
Diffstat (limited to 'hardware/software/pong3_bsp')
-rw-r--r--hardware/software/pong3_bsp/.cproject348
-rw-r--r--hardware/software/pong3_bsp/.project85
-rw-r--r--hardware/software/pong3_bsp/Makefile786
-rwxr-xr-xhardware/software/pong3_bsp/create-this-bsp49
-rw-r--r--hardware/software/pong3_bsp/settings.bsp937
5 files changed, 2205 insertions, 0 deletions
diff --git a/hardware/software/pong3_bsp/.cproject b/hardware/software/pong3_bsp/.cproject
new file mode 100644
index 0000000..e441cd1
--- /dev/null
+++ b/hardware/software/pong3_bsp/.cproject
@@ -0,0 +1,348 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<?fileVersion 4.0.0?>
+
+<cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
+ <storageModule moduleId="org.eclipse.cdt.core.settings">
+ <buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250">
+ <storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250" moduleId="org.eclipse.cdt.core.settings"/>
+ </buildSystem>
+ <cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250">
+ <storageModule moduleId="cdtBuildSystem" version="4.0.0">
+ <configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
+ <folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250." name="/" resourcePath="">
+ <toolChain id="altera.nios2.linux.gcc4.969570882" name="Linux Nios II GCC4" superClass="altera.nios2.linux.gcc4">
+ <targetPlatform id="altera.nios2.linux.gcc4.1644162213" name="Nios II" superClass="altera.nios2.linux.gcc4"/>
+ <builder buildPath="${workspace_loc://pong3_bsp}" id="cdt.managedbuild.target.gnu.builder.base.1453330656" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="cdt.managedbuild.target.gnu.builder.base"/>
+ <tool id="cdt.managedbuild.tool.gnu.archiver.base.808339627" name="GCC Archiver" superClass="cdt.managedbuild.tool.gnu.archiver.base"/>
+ <tool id="cdt.managedbuild.tool.gnu.cpp.compiler.base.138112948" name="GCC C++ Compiler" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.base">
+ <option id="gnu.cpp.compiler.option.preprocessor.undef.1763840519" superClass="gnu.cpp.compiler.option.preprocessor.undef" valueType="undefDefinedSymbols">
+ <listOptionValue builtIn="false" value="__FLT_EVAL_METHOD__"/>
+ <listOptionValue builtIn="false" value="__FLT_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__FLT_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__DBL_MIN__"/>
+ <listOptionValue builtIn="false" value="__LDBL_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MIN__"/>
+ <listOptionValue builtIn="false" value="__SCHAR_MAX__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__DBL_MIN_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__unix__"/>
+ <listOptionValue builtIn="false" value="__DBL_DENORM_MIN__"/>
+ <listOptionValue builtIn="false" value="__REGISTER_PREFIX__"/>
+ <listOptionValue builtIn="false" value="__SHRT_MAX__"/>
+ <listOptionValue builtIn="false" value="__DBL_HAS_DENORM__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_WCHAR_T__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_PTRDIFF_T__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MAX__"/>
+ <listOptionValue builtIn="false" value="__linux__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_DOUBLE__"/>
+ <listOptionValue builtIn="false" value="__LDBL_HAS_QUIET_NAN__"/>
+ <listOptionValue builtIn="false" value="__FLT_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__DEC32_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__FLT_DENORM_MIN__"/>
+ <listOptionValue builtIn="false" value="__CHAR32_TYPE__"/>
+ <listOptionValue builtIn="false" value="__LDBL_DIG__"/>
+ <listOptionValue builtIn="false" value="__ELF__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MAX__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__LDBL_DENORM_MIN__"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_SYNC_COMPARE_AND_SWAP_8"/>
+ <listOptionValue builtIn="false" value="i386"/>
+ <listOptionValue builtIn="false" value="_GNU_SOURCE"/>
+ <listOptionValue builtIn="false" value="__FLT_MIN_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__WCHAR_MAX__"/>
+ <listOptionValue builtIn="false" value="__LDBL_HAS_DENORM__"/>
+ <listOptionValue builtIn="false" value="__GNUC_PATCHLEVEL__"/>
+ <listOptionValue builtIn="false" value="__DEC32_SUBNORMAL_MIN__"/>
+ <listOptionValue builtIn="false" value="__GXX_ABI_VERSION"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_POINTER__"/>
+ <listOptionValue builtIn="false" value="__DBL_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__FLT_MAX_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__GNUC_RH_RELEASE__"/>
+ <listOptionValue builtIn="false" value="__LDBL_HAS_INFINITY__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_SYNC_COMPARE_AND_SWAP_4"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_LONG_DOUBLE__"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_SYNC_COMPARE_AND_SWAP_1"/>
+ <listOptionValue builtIn="false" value="__DEC32_MIN__"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_SYNC_COMPARE_AND_SWAP_2"/>
+ <listOptionValue builtIn="false" value="__EXCEPTIONS"/>
+ <listOptionValue builtIn="false" value="__LDBL_MIN_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__i386"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_LONG_LONG__"/>
+ <listOptionValue builtIn="false" value="__DEPRECATED"/>
+ <listOptionValue builtIn="false" value="__DEC64_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__FLT_MIN__"/>
+ <listOptionValue builtIn="false" value="__DBL_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__GXX_RTTI"/>
+ <listOptionValue builtIn="false" value="__LDBL_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_SIZE_T__"/>
+ <listOptionValue builtIn="false" value="__DBL_DIG__"/>
+ <listOptionValue builtIn="false" value="__FLT_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__FLT_RADIX__"/>
+ <listOptionValue builtIn="false" value="__GNUC_MINOR__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MAX__"/>
+ <listOptionValue builtIn="false" value="__BIGGEST_ALIGNMENT__"/>
+ <listOptionValue builtIn="false" value="__gnu_linux__"/>
+ <listOptionValue builtIn="false" value="__INTMAX_TYPE__"/>
+ <listOptionValue builtIn="false" value="__FLT_DIG__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__DECIMAL_BID_FORMAT__"/>
+ <listOptionValue builtIn="false" value="__pentiumpro__"/>
+ <listOptionValue builtIn="false" value="__i686"/>
+ <listOptionValue builtIn="false" value="__INT_MAX__"/>
+ <listOptionValue builtIn="false" value="__DEC64_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__LONG_LONG_MAX__"/>
+ <listOptionValue builtIn="false" value="__DBL_HAS_INFINITY__"/>
+ <listOptionValue builtIn="false" value="__FLT_HAS_QUIET_NAN__"/>
+ <listOptionValue builtIn="false" value="__DBL_MAX_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__FLT_HAS_DENORM__"/>
+ <listOptionValue builtIn="false" value="__STDC__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MIN__"/>
+ <listOptionValue builtIn="false" value="__NO_INLINE__"/>
+ <listOptionValue builtIn="false" value="__DEC128_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__GNUC__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_FLOAT__"/>
+ <listOptionValue builtIn="false" value="__INTMAX_MAX__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__unix"/>
+ <listOptionValue builtIn="false" value="__VERSION__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_WINT_T__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_INT__"/>
+ <listOptionValue builtIn="false" value="__USER_LABEL_PREFIX__"/>
+ <listOptionValue builtIn="false" value="__LONG_MAX__"/>
+ <listOptionValue builtIn="false" value="__pentiumpro"/>
+ <listOptionValue builtIn="false" value="__PTRDIFF_TYPE__"/>
+ <listOptionValue builtIn="false" value="__CHAR_BIT__"/>
+ <listOptionValue builtIn="false" value="__i386__"/>
+ <listOptionValue builtIn="false" value="__DEC128_SUBNORMAL_MIN__"/>
+ <listOptionValue builtIn="false" value="__DBL_HAS_QUIET_NAN__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_SHORT__"/>
+ <listOptionValue builtIn="false" value="__DBL_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__WINT_TYPE__"/>
+ <listOptionValue builtIn="false" value="__DEC_EVAL_METHOD__"/>
+ <listOptionValue builtIn="false" value="__DEC64_SUBNORMAL_MIN__"/>
+ <listOptionValue builtIn="false" value="__DBL_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__FLT_MAX__"/>
+ <listOptionValue builtIn="false" value="__linux"/>
+ <listOptionValue builtIn="false" value="__GNUC_GNU_INLINE__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MIN__"/>
+ <listOptionValue builtIn="false" value="__SIZE_TYPE__"/>
+ <listOptionValue builtIn="false" value="__FLT_HAS_INFINITY__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_DWARF2_CFI_ASM"/>
+ <listOptionValue builtIn="false" value="__STDC_HOSTED__"/>
+ <listOptionValue builtIn="false" value="linux"/>
+ <listOptionValue builtIn="false" value="__DECIMAL_DIG__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MAX_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__GXX_WEAK__"/>
+ <listOptionValue builtIn="false" value="__DBL_MAX__"/>
+ <listOptionValue builtIn="false" value="__i686__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_LONG__"/>
+ <listOptionValue builtIn="false" value="__UINTMAX_TYPE__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MAX__"/>
+ <listOptionValue builtIn="false" value="__FINITE_MATH_ONLY__"/>
+ <listOptionValue builtIn="false" value="__WCHAR_TYPE__"/>
+ <listOptionValue builtIn="false" value="__GNUG__"/>
+ <listOptionValue builtIn="false" value="unix"/>
+ <listOptionValue builtIn="false" value="__CHAR16_TYPE__"/>
+ <listOptionValue builtIn="false" value="__cplusplus"/>
+ </option>
+ <inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.256968668" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
+ </tool>
+ <tool id="cdt.managedbuild.tool.gnu.c.compiler.base.1593645278" name="GCC C Compiler" superClass="cdt.managedbuild.tool.gnu.c.compiler.base">
+ <option id="gnu.c.compiler.option.preprocessor.undef.symbol.1372297885" superClass="gnu.c.compiler.option.preprocessor.undef.symbol" valueType="undefDefinedSymbols">
+ <listOptionValue builtIn="false" value="__FLT_EVAL_METHOD__"/>
+ <listOptionValue builtIn="false" value="__FLT_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__FLT_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__DBL_MIN__"/>
+ <listOptionValue builtIn="false" value="__LDBL_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MIN__"/>
+ <listOptionValue builtIn="false" value="__SCHAR_MAX__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__DBL_MIN_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__unix__"/>
+ <listOptionValue builtIn="false" value="__DBL_DENORM_MIN__"/>
+ <listOptionValue builtIn="false" value="__REGISTER_PREFIX__"/>
+ <listOptionValue builtIn="false" value="__SHRT_MAX__"/>
+ <listOptionValue builtIn="false" value="__DBL_HAS_DENORM__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_WCHAR_T__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_PTRDIFF_T__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MAX__"/>
+ <listOptionValue builtIn="false" value="__linux__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_DOUBLE__"/>
+ <listOptionValue builtIn="false" value="__LDBL_HAS_QUIET_NAN__"/>
+ <listOptionValue builtIn="false" value="__FLT_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__DEC32_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__FLT_DENORM_MIN__"/>
+ <listOptionValue builtIn="false" value="__CHAR32_TYPE__"/>
+ <listOptionValue builtIn="false" value="__LDBL_DIG__"/>
+ <listOptionValue builtIn="false" value="__ELF__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MAX__"/>
+ <listOptionValue builtIn="false" value="__LDBL_DENORM_MIN__"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_SYNC_COMPARE_AND_SWAP_8"/>
+ <listOptionValue builtIn="false" value="i386"/>
+ <listOptionValue builtIn="false" value="__FLT_MIN_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__WCHAR_MAX__"/>
+ <listOptionValue builtIn="false" value="__LDBL_HAS_DENORM__"/>
+ <listOptionValue builtIn="false" value="__GNUC_PATCHLEVEL__"/>
+ <listOptionValue builtIn="false" value="__DEC32_SUBNORMAL_MIN__"/>
+ <listOptionValue builtIn="false" value="__GXX_ABI_VERSION"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_POINTER__"/>
+ <listOptionValue builtIn="false" value="__DBL_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__LDBL_HAS_INFINITY__"/>
+ <listOptionValue builtIn="false" value="__GNUC_RH_RELEASE__"/>
+ <listOptionValue builtIn="false" value="__FLT_MAX_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_SYNC_COMPARE_AND_SWAP_4"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_SYNC_COMPARE_AND_SWAP_1"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_LONG_DOUBLE__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MIN__"/>
+ <listOptionValue builtIn="false" value="__GCC_HAVE_SYNC_COMPARE_AND_SWAP_2"/>
+ <listOptionValue builtIn="false" value="__LDBL_MIN_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__i386"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_LONG_LONG__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__FLT_MIN__"/>
+ <listOptionValue builtIn="false" value="__DBL_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_SIZE_T__"/>
+ <listOptionValue builtIn="false" value="__DBL_DIG__"/>
+ <listOptionValue builtIn="false" value="__FLT_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__GNUC_MINOR__"/>
+ <listOptionValue builtIn="false" value="__FLT_RADIX__"/>
+ <listOptionValue builtIn="false" value="__DEC64_MAX__"/>
+ <listOptionValue builtIn="false" value="__BIGGEST_ALIGNMENT__"/>
+ <listOptionValue builtIn="false" value="__gnu_linux__"/>
+ <listOptionValue builtIn="false" value="__INTMAX_TYPE__"/>
+ <listOptionValue builtIn="false" value="__FLT_DIG__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__DECIMAL_BID_FORMAT__"/>
+ <listOptionValue builtIn="false" value="__pentiumpro__"/>
+ <listOptionValue builtIn="false" value="__i686"/>
+ <listOptionValue builtIn="false" value="__INT_MAX__"/>
+ <listOptionValue builtIn="false" value="__DEC64_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__LONG_LONG_MAX__"/>
+ <listOptionValue builtIn="false" value="__DBL_HAS_INFINITY__"/>
+ <listOptionValue builtIn="false" value="__FLT_HAS_QUIET_NAN__"/>
+ <listOptionValue builtIn="false" value="__DBL_MAX_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__STDC__"/>
+ <listOptionValue builtIn="false" value="__FLT_HAS_DENORM__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MIN__"/>
+ <listOptionValue builtIn="false" value="__NO_INLINE__"/>
+ <listOptionValue builtIn="false" value="__DEC128_EPSILON__"/>
+ <listOptionValue builtIn="false" value="__GNUC__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_FLOAT__"/>
+ <listOptionValue builtIn="false" value="__INTMAX_MAX__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__unix"/>
+ <listOptionValue builtIn="false" value="__VERSION__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MIN_EXP__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_WINT_T__"/>
+ <listOptionValue builtIn="false" value="__USER_LABEL_PREFIX__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_INT__"/>
+ <listOptionValue builtIn="false" value="__LONG_MAX__"/>
+ <listOptionValue builtIn="false" value="__pentiumpro"/>
+ <listOptionValue builtIn="false" value="__PTRDIFF_TYPE__"/>
+ <listOptionValue builtIn="false" value="__i386__"/>
+ <listOptionValue builtIn="false" value="__CHAR_BIT__"/>
+ <listOptionValue builtIn="false" value="__DEC128_SUBNORMAL_MIN__"/>
+ <listOptionValue builtIn="false" value="__DBL_HAS_QUIET_NAN__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_SHORT__"/>
+ <listOptionValue builtIn="false" value="__DBL_MAX_EXP__"/>
+ <listOptionValue builtIn="false" value="__WINT_TYPE__"/>
+ <listOptionValue builtIn="false" value="__DEC_EVAL_METHOD__"/>
+ <listOptionValue builtIn="false" value="__DEC64_SUBNORMAL_MIN__"/>
+ <listOptionValue builtIn="false" value="__DBL_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__FLT_MAX__"/>
+ <listOptionValue builtIn="false" value="__linux"/>
+ <listOptionValue builtIn="false" value="__GNUC_GNU_INLINE__"/>
+ <listOptionValue builtIn="false" value="__DEC128_MIN__"/>
+ <listOptionValue builtIn="false" value="__SIZE_TYPE__"/>
+ <listOptionValue builtIn="false" value="__FLT_HAS_INFINITY__"/>
+ <listOptionValue builtIn="false" value="__DEC32_MANT_DIG__"/>
+ <listOptionValue builtIn="false" value="__STDC_HOSTED__"/>
+ <listOptionValue builtIn="false" value="linux"/>
+ <listOptionValue builtIn="false" value="__DECIMAL_DIG__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MAX_10_EXP__"/>
+ <listOptionValue builtIn="false" value="__DBL_MAX__"/>
+ <listOptionValue builtIn="false" value="__i686__"/>
+ <listOptionValue builtIn="false" value="__SIZEOF_LONG__"/>
+ <listOptionValue builtIn="false" value="__UINTMAX_TYPE__"/>
+ <listOptionValue builtIn="false" value="__LDBL_MAX__"/>
+ <listOptionValue builtIn="false" value="__FINITE_MATH_ONLY__"/>
+ <listOptionValue builtIn="false" value="__WCHAR_TYPE__"/>
+ <listOptionValue builtIn="false" value="unix"/>
+ <listOptionValue builtIn="false" value="__CHAR16_TYPE__"/>
+ </option>
+ <inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.139874590" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
+ </tool>
+ <tool id="cdt.managedbuild.tool.gnu.c.linker.base.36652944" name="GCC C Linker" superClass="cdt.managedbuild.tool.gnu.c.linker.base"/>
+ <tool id="cdt.managedbuild.tool.gnu.cpp.linker.base.1390807603" name="GCC C++ Linker" superClass="cdt.managedbuild.tool.gnu.cpp.linker.base">
+ <inputType id="cdt.managedbuild.tool.gnu.cpp.linker.input.1978745604" superClass="cdt.managedbuild.tool.gnu.cpp.linker.input">
+ <additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
+ <additionalInput kind="additionalinput" paths="$(LIBS)"/>
+ </inputType>
+ </tool>
+ <tool id="cdt.managedbuild.tool.gnu.assembler.base.177640405" name="GCC Assembler" superClass="cdt.managedbuild.tool.gnu.assembler.base">
+ <inputType id="cdt.managedbuild.tool.gnu.assembler.input.450088757" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
+ </tool>
+ </toolChain>
+ </folderInfo>
+ </configuration>
+ </storageModule>
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
+ <externalSettings/>
+ <extensions>
+ <extension id="org.eclipse.cdt.core.GNU_ELF" point="org.eclipse.cdt.core.BinaryParser"/>
+ <extension id="org.eclipse.cdt.core.GmakeErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
+ <extension id="org.eclipse.cdt.core.CWDLocator" point="org.eclipse.cdt.core.ErrorParser"/>
+ <extension id="org.eclipse.cdt.core.GCCErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
+ <extension id="org.eclipse.cdt.core.GASErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
+ <extension id="org.eclipse.cdt.core.GLDErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
+ </extensions>
+ </storageModule>
+ <storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
+ </cconfiguration>
+ </storageModule>
+ <storageModule moduleId="cdtBuildSystem" version="4.0.0">
+ <project id="pong3_bsp.null.1218264101" name="pong3_bsp"/>
+ </storageModule>
+ <storageModule moduleId="org.eclipse.cdt.make.core.buildtargets"/>
+ <storageModule moduleId="scannerConfiguration">
+ <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
+ <scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250.;cdt.managedbuild.tool.gnu.c.compiler.base.1593645278;cdt.managedbuild.tool.gnu.c.compiler.input.139874590">
+ <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId="org.eclipse.cdt.managedbuilder.core.GCCManagedMakePerProjectProfileC"/>
+ <profile id="org.eclipse.cdt.managedbuilder.core.GCCManagedMakePerProjectProfileC">
+ <buildOutputProvider>
+ <openAction enabled="true" filePath=""/>
+ <parser enabled="true"/>
+ </buildOutputProvider>
+ <scannerInfoProvider id="specsFile">
+ <runAction arguments="-E -P -v -dD &quot;${plugin_state_location}/specs.c&quot;" command="nios2-elf-gcc" useDefault="true"/>
+ <parser enabled="true"/>
+ </scannerInfoProvider>
+ </profile>
+ </scannerConfigBuildInfo>
+ <scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250.;cdt.managedbuild.tool.gnu.cpp.compiler.base.138112948;cdt.managedbuild.tool.gnu.cpp.compiler.input.256968668">
+ <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId="org.eclipse.cdt.managedbuilder.core.GCCManagedMakePerProjectProfileCPP"/>
+ <profile id="org.eclipse.cdt.managedbuilder.core.GCCManagedMakePerProjectProfileCPP">
+ <buildOutputProvider>
+ <openAction enabled="true" filePath=""/>
+ <parser enabled="true"/>
+ </buildOutputProvider>
+ <scannerInfoProvider id="specsFile">
+ <runAction arguments="-E -P -v -dD &quot;${plugin_state_location}/specs.cpp&quot;" command="nios2-elf-g++" useDefault="true"/>
+ <parser enabled="true"/>
+ </scannerInfoProvider>
+ </profile>
+ </scannerConfigBuildInfo>
+ </storageModule>
+</cproject>
diff --git a/hardware/software/pong3_bsp/.project b/hardware/software/pong3_bsp/.project
new file mode 100644
index 0000000..16e64e8
--- /dev/null
+++ b/hardware/software/pong3_bsp/.project
@@ -0,0 +1,85 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<projectDescription>
+ <name>pong3_bsp</name>
+ <comment></comment>
+ <projects>
+ </projects>
+ <buildSpec>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.genmakebuilder</name>
+ <triggers>clean,full,incremental,</triggers>
+ <arguments>
+ <dictionary>
+ <key>?name?</key>
+ <value></value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.append_environment</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.autoBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildArguments</key>
+ <value></value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildCommand</key>
+ <value>make</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.buildLocation</key>
+ <value>${workspace_loc://pong3_bsp}</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.cleanBuildTarget</key>
+ <value>clean</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.contents</key>
+ <value>org.eclipse.cdt.make.core.activeConfigSettings</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableAutoBuild</key>
+ <value>false</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableCleanBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.enableFullBuild</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.fullBuildTarget</key>
+ <value>all</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.stopOnError</key>
+ <value>true</value>
+ </dictionary>
+ <dictionary>
+ <key>org.eclipse.cdt.make.core.useDefaultBuildCmd</key>
+ <value>true</value>
+ </dictionary>
+ </arguments>
+ </buildCommand>
+ <buildCommand>
+ <name>org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder</name>
+ <triggers>full,incremental,</triggers>
+ <arguments>
+ </arguments>
+ </buildCommand>
+ </buildSpec>
+ <natures>
+ <nature>org.eclipse.cdt.core.cnature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.managedBuildNature</nature>
+ <nature>org.eclipse.cdt.managedbuilder.core.ScannerConfigNature</nature>
+ <nature>org.eclipse.cdt.core.ccnature</nature>
+ <nature>com.altera.sbtgui.project.SBTGUINature</nature>
+ <nature>com.altera.sbtgui.project.SBTGUIBspNature</nature>
+ </natures>
+</projectDescription>
diff --git a/hardware/software/pong3_bsp/Makefile b/hardware/software/pong3_bsp/Makefile
new file mode 100644
index 0000000..d40fcef
--- /dev/null
+++ b/hardware/software/pong3_bsp/Makefile
@@ -0,0 +1,786 @@
+#------------------------------------------------------------------------------
+# BSP MAKEFILE
+#
+# This makefile was automatically generated by the nios2-bsp-generate-files
+# command. Its purpose is to build a custom Board Support Package (BSP)
+# targeting a specific Nios II processor in an SOPC Builder-based design.
+#
+# To create an application or library Makefile which uses this BSP, try the
+# nios2-app-generate-makefile or nios2-lib-generate-makefile commands.
+#------------------------------------------------------------------------------
+
+#------------------------------------------------------------------------------
+# TOOLS
+#------------------------------------------------------------------------------
+
+MKDIR := mkdir -p
+ECHO := echo
+SPACE := $(empty) $(empty)
+
+#------------------------------------------------------------------------------
+# The adjust-path macro
+#
+# If COMSPEC is defined, Make is launched from Windows through
+# Cygwin. This adjust-path macro will call 'cygpath -u' on all
+# paths to ensure they are readable by Make.
+#
+# If COMSPEC is not defined, Make is launched from *nix, and no adjustment
+# is necessary
+#------------------------------------------------------------------------------
+
+ifndef COMSPEC
+ifdef ComSpec
+COMSPEC = $(ComSpec)
+endif # ComSpec
+endif # !COMSPEC
+
+ifdef COMSPEC
+ adjust-path = $(subst $(SPACE),\$(SPACE),$(shell cygpath -u "$1"))
+ adjust-path-mixed = $(subst $(SPACE),\$(SPACE),$(shell cygpath -m "$1"))
+else
+ adjust-path = $(subst $(SPACE),\$(SPACE),$1)
+ adjust-path-mixed = $(subst $(SPACE),\$(SPACE),$1)
+endif
+
+#------------------------------------------------------------------------------
+# DEFAULT TARGET
+#
+# The default target, "all", must appear before any other target in the
+# Makefile. Note that extra prerequisites are added to the "all" rule later.
+#------------------------------------------------------------------------------
+.PHONY: all
+all:
+ @$(ECHO) [BSP build complete]
+
+
+#------------------------------------------------------------------------------
+# PATHS & DIRECTORY NAMES
+#
+# Explicitly locate absolute path of the BSP root
+#------------------------------------------------------------------------------
+
+BSP_ROOT_DIR := .
+
+# Define absolute path to the root of the BSP.
+ABS_BSP_ROOT := $(call adjust-path-mixed,$(shell pwd))
+
+# Stash all BSP object files here
+OBJ_DIR := ./obj
+
+NEWLIB_DIR = $(BSP_ROOT_DIR)/newlib
+
+
+#------------------------------------------------------------------------------
+# MANAGED CONTENT
+#
+# All content between the lines "START MANAGED" and "END MANAGED" below is
+# generated based on variables in the BSP settings file when the
+# nios2-bsp-generate-files command is invoked. If you wish to persist any
+# information pertaining to the build process, it is recomended that you
+# utilize the BSP settings mechanism to do so.
+#
+# Note that most variable assignments in this section have a corresponding BSP
+# setting that can be changed by using the nios2-bsp-create-settings or
+# nios2-bsp-update-settings command before nios2-bsp-generate-files; if you
+# want any variable set to a specific value when this Makefile is re-generated
+# (to prevent hand-edits from being over-written), use the BSP settings
+# facilities above.
+#------------------------------------------------------------------------------
+
+#START MANAGED
+
+# The following TYPE comment allows tools to identify the 'type' of target this
+# makefile is associated with.
+# TYPE: BSP_PRIVATE_MAKEFILE
+
+# This following VERSION comment indicates the version of the tool used to
+# generate this makefile. A makefile variable is provided for VERSION as well.
+# ACDS_VERSION: 13.0sp1
+ACDS_VERSION := 13.0sp1
+
+# This following BUILD_NUMBER comment indicates the build number of the tool
+# used to generate this makefile.
+# BUILD_NUMBER: 232
+
+SETTINGS_FILE := settings.bsp
+SOPC_FILE := ../../my_sys.sopcinfo
+
+#-------------------------------------------------------------------------------
+# TOOL & COMMAND DEFINITIONS
+#
+# The base command for each build operation are expressed here. Additional
+# switches may be expressed here. They will run for all instances of the
+# utility.
+#-------------------------------------------------------------------------------
+
+# Archiver command. Creates library files.
+AR = nios2-elf-ar
+
+# Assembler command. Note that CC is used for .S files.
+AS = nios2-elf-gcc
+
+# Custom flags only passed to the archiver. This content of this variable is
+# directly passed to the archiver rather than the more standard "ARFLAGS". The
+# reason for this is that GNU Make assumes some default content in ARFLAGS.
+# This setting defines the value of BSP_ARFLAGS in Makefile.
+BSP_ARFLAGS = -src
+
+# Custom flags only passed to the assembler. This setting defines the value of
+# BSP_ASFLAGS in Makefile.
+BSP_ASFLAGS = -Wa,-gdwarf2
+
+# C/C++ compiler debug level. '-g' provides the default set of debug symbols
+# typically required to debug a typical application. Omitting '-g' removes
+# debug symbols from the ELF. This setting defines the value of
+# BSP_CFLAGS_DEBUG in Makefile.
+BSP_CFLAGS_DEBUG = -g
+
+# C/C++ compiler optimization level. "-O0" = no optimization,"-O2" = "normal"
+# optimization, etc. "-O0" is recommended for code that you want to debug since
+# compiler optimization can remove variables and produce non-sequential
+# execution of code while debugging. This setting defines the value of
+# BSP_CFLAGS_OPTIMIZATION in Makefile.
+BSP_CFLAGS_OPTIMIZATION = -O0
+
+# C/C++ compiler warning level. "-Wall" is commonly used.This setting defines
+# the value of BSP_CFLAGS_WARNINGS in Makefile.
+BSP_CFLAGS_WARNINGS = -Wall
+
+# C compiler command.
+CC = nios2-elf-gcc -xc
+
+# C++ compiler command.
+CXX = nios2-elf-gcc -xc++
+
+# Command used to remove files during 'clean' target.
+RM = rm -f
+
+
+#-------------------------------------------------------------------------------
+# BUILD PRE & POST PROCESS COMMANDS
+#
+# The following variables are treated as shell commands in the rule
+# definitions for each file-type associated with the BSP build, as well as
+# commands run at the beginning and end of the entire BSP build operation.
+# Pre-process commands are executed before the relevant command (for example,
+# a command defined in the "CC_PRE_PROCESS" variable executes before the C
+# compiler for building .c files), while post-process commands are executed
+# immediately afterwards.
+#
+# You can view each pre/post-process command in the "Build Rules: All &
+# Clean", "Pattern Rules to Build Objects", and "Library Rules" sections of
+# this Makefile.
+#-------------------------------------------------------------------------------
+
+
+#-------------------------------------------------------------------------------
+# BSP SOURCE BUILD SETTINGS (FLAG GENERATION)
+#
+# Software build settings such as compiler optimization, debug level, warning
+# flags, etc., may be defined in the following variables. The variables below
+# are concatenated together in the 'Flags' section of this Makefile to form
+# final variables of flags passed to the build tools.
+#
+# These settings are considered private to the BSP and apply to all library &
+# driver files in it; they do NOT automatically propagate to, for example, the
+# build settings for an application.
+# # For additional detail and syntax requirements, please refer to GCC help
+# (example: "nios2-elf-gcc --help --verbose").
+#
+# Unless indicated otherwise, multiple entries in each variable should be
+# space-separated.
+#-------------------------------------------------------------------------------
+
+# Altera HAL alt_sys_init.c generated source file
+GENERATED_C_FILES := $(ABS_BSP_ROOT)/alt_sys_init.c
+GENERATED_C_LIB_SRCS += alt_sys_init.c
+
+
+#-------------------------------------------------------------------------------
+# BSP SOURCE FILE LISTING
+#
+# All source files that comprise the BSP are listed here, along with path
+# information to each file expressed relative to the BSP root. The precise
+# list and location of each file is derived from the driver, operating system,
+# or software package source file declarations.
+#
+# Following specification of the source files for each component, driver, etc.,
+# each source file type (C, assembly, etc.) is concatenated together and used
+# to construct a list of objects. Pattern rules to build each object are then
+# used to build each file.
+#-------------------------------------------------------------------------------
+
+# altera_avalon_epcs_flash_controller_driver sources root
+altera_avalon_epcs_flash_controller_driver_SRCS_ROOT := drivers
+
+# altera_avalon_epcs_flash_controller_driver sources
+altera_avalon_epcs_flash_controller_driver_C_LIB_SRCS := \
+ $(altera_avalon_epcs_flash_controller_driver_SRCS_ROOT)/src/altera_avalon_epcs_flash_controller.c \
+ $(altera_avalon_epcs_flash_controller_driver_SRCS_ROOT)/src/epcs_commands.c \
+ $(altera_avalon_epcs_flash_controller_driver_SRCS_ROOT)/src/altera_avalon_spi.c
+
+# altera_avalon_jtag_uart_driver sources root
+altera_avalon_jtag_uart_driver_SRCS_ROOT := drivers
+
+# altera_avalon_jtag_uart_driver sources
+altera_avalon_jtag_uart_driver_C_LIB_SRCS := \
+ $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_init.c \
+ $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_read.c \
+ $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_write.c \
+ $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_ioctl.c \
+ $(altera_avalon_jtag_uart_driver_SRCS_ROOT)/src/altera_avalon_jtag_uart_fd.c
+
+# altera_avalon_pio_driver sources root
+altera_avalon_pio_driver_SRCS_ROOT := drivers
+
+# altera_avalon_pio_driver sources
+# altera_avalon_timer_driver sources root
+altera_avalon_timer_driver_SRCS_ROOT := drivers
+
+# altera_avalon_timer_driver sources
+altera_avalon_timer_driver_C_LIB_SRCS := \
+ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_sc.c \
+ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_ts.c \
+ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_vars.c
+
+# altera_nios2_qsys_hal_driver sources root
+altera_nios2_qsys_hal_driver_SRCS_ROOT := HAL
+
+# altera_nios2_qsys_hal_driver sources
+altera_nios2_qsys_hal_driver_C_LIB_SRCS := \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/altera_nios2_qsys_irq.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_usleep.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_busy_sleep.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_irq_vars.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_icache_flush.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_icache_flush_all.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_dcache_flush.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_dcache_flush_all.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_dcache_flush_no_writeback.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_instruction_exception_entry.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_irq_register.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_iic.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_remap_cached.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_remap_uncached.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_uncached_free.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_uncached_malloc.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_do_ctors.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_do_dtors.c \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_gmon.c
+
+altera_nios2_qsys_hal_driver_ASM_LIB_SRCS := \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_exception_entry.S \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_exception_trap.S \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_exception_muldiv.S \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_irq_entry.S \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_software_exception.S \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_mcount.S \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/alt_log_macro.S \
+ $(altera_nios2_qsys_hal_driver_SRCS_ROOT)/src/crt0.S
+
+# hal sources root
+hal_SRCS_ROOT := HAL
+
+# hal sources
+hal_C_LIB_SRCS := \
+ $(hal_SRCS_ROOT)/src/alt_alarm_start.c \
+ $(hal_SRCS_ROOT)/src/alt_close.c \
+ $(hal_SRCS_ROOT)/src/alt_dev.c \
+ $(hal_SRCS_ROOT)/src/alt_dev_llist_insert.c \
+ $(hal_SRCS_ROOT)/src/alt_dma_rxchan_open.c \
+ $(hal_SRCS_ROOT)/src/alt_dma_txchan_open.c \
+ $(hal_SRCS_ROOT)/src/alt_environ.c \
+ $(hal_SRCS_ROOT)/src/alt_env_lock.c \
+ $(hal_SRCS_ROOT)/src/alt_errno.c \
+ $(hal_SRCS_ROOT)/src/alt_execve.c \
+ $(hal_SRCS_ROOT)/src/alt_exit.c \
+ $(hal_SRCS_ROOT)/src/alt_fcntl.c \
+ $(hal_SRCS_ROOT)/src/alt_fd_lock.c \
+ $(hal_SRCS_ROOT)/src/alt_fd_unlock.c \
+ $(hal_SRCS_ROOT)/src/alt_find_dev.c \
+ $(hal_SRCS_ROOT)/src/alt_find_file.c \
+ $(hal_SRCS_ROOT)/src/alt_flash_dev.c \
+ $(hal_SRCS_ROOT)/src/alt_fork.c \
+ $(hal_SRCS_ROOT)/src/alt_fs_reg.c \
+ $(hal_SRCS_ROOT)/src/alt_fstat.c \
+ $(hal_SRCS_ROOT)/src/alt_get_fd.c \
+ $(hal_SRCS_ROOT)/src/alt_getchar.c \
+ $(hal_SRCS_ROOT)/src/alt_getpid.c \
+ $(hal_SRCS_ROOT)/src/alt_gettod.c \
+ $(hal_SRCS_ROOT)/src/alt_iic_isr_register.c \
+ $(hal_SRCS_ROOT)/src/alt_instruction_exception_register.c \
+ $(hal_SRCS_ROOT)/src/alt_ioctl.c \
+ $(hal_SRCS_ROOT)/src/alt_io_redirect.c \
+ $(hal_SRCS_ROOT)/src/alt_irq_handler.c \
+ $(hal_SRCS_ROOT)/src/alt_isatty.c \
+ $(hal_SRCS_ROOT)/src/alt_kill.c \
+ $(hal_SRCS_ROOT)/src/alt_link.c \
+ $(hal_SRCS_ROOT)/src/alt_load.c \
+ $(hal_SRCS_ROOT)/src/alt_log_printf.c \
+ $(hal_SRCS_ROOT)/src/alt_lseek.c \
+ $(hal_SRCS_ROOT)/src/alt_main.c \
+ $(hal_SRCS_ROOT)/src/alt_malloc_lock.c \
+ $(hal_SRCS_ROOT)/src/alt_open.c \
+ $(hal_SRCS_ROOT)/src/alt_printf.c \
+ $(hal_SRCS_ROOT)/src/alt_putchar.c \
+ $(hal_SRCS_ROOT)/src/alt_putstr.c \
+ $(hal_SRCS_ROOT)/src/alt_read.c \
+ $(hal_SRCS_ROOT)/src/alt_release_fd.c \
+ $(hal_SRCS_ROOT)/src/alt_rename.c \
+ $(hal_SRCS_ROOT)/src/alt_sbrk.c \
+ $(hal_SRCS_ROOT)/src/alt_settod.c \
+ $(hal_SRCS_ROOT)/src/alt_stat.c \
+ $(hal_SRCS_ROOT)/src/alt_tick.c \
+ $(hal_SRCS_ROOT)/src/alt_times.c \
+ $(hal_SRCS_ROOT)/src/alt_unlink.c \
+ $(hal_SRCS_ROOT)/src/alt_wait.c \
+ $(hal_SRCS_ROOT)/src/alt_write.c
+
+
+# Assemble all component C source files
+COMPONENT_C_LIB_SRCS += \
+ $(altera_avalon_epcs_flash_controller_driver_C_LIB_SRCS) \
+ $(altera_avalon_jtag_uart_driver_C_LIB_SRCS) \
+ $(altera_avalon_timer_driver_C_LIB_SRCS) \
+ $(altera_nios2_qsys_hal_driver_C_LIB_SRCS) \
+ $(hal_C_LIB_SRCS)
+
+# Assemble all component assembly source files
+COMPONENT_ASM_LIB_SRCS += \
+ $(altera_nios2_qsys_hal_driver_ASM_LIB_SRCS)
+
+# Assemble all component C++ source files
+COMPONENT_CPP_LIB_SRCS += \
+
+#END MANAGED
+
+#------------------------------------------------------------------------------
+# PUBLIC.MK
+#
+# The generated public.mk file contains BSP information that is shared with
+# other external makefiles, such as a Nios II application makefile. System-
+# dependent information such as hardware-specific compiler flags and
+# simulation file generation are stored here.
+#
+# In addition, public.mk contains include paths that various software,
+# such as a device driver, may need for the C compiler. These paths are
+# written to public.mk with respect to the BSP root. In public.mk, each
+# path is prefixed with a special variable, $(ALT_LIBRARY_ROOT_DIR). The
+# purpose of this variable is to allow an external Makefile to append on
+# path information to precisely locate paths expressed in public.mk
+# Since this is the BSP Makefile, we set ALT_LIBRARY_ROOT_DIR to point right
+# here ("."), at the BSP root.
+#
+# ALT_LIBRARY_ROOT_DIR must always be set before public.mk is included.
+#------------------------------------------------------------------------------
+ALT_LIBRARY_ROOT_DIR := .
+include public.mk
+
+
+#------------------------------------------------------------------------------
+# FLAGS
+#
+# Include paths for BSP files are written into the public.mk file and must
+# be added to the existing list of pre-processor flags. In addition, "hooks"
+# for standard flags left intentionally empty (CFLAGS, CPPFLAGS, ASFLAGS,
+# and CXXFLAGS) are provided for conveniently adding to the relevant flags
+# on the command-line or via script that calls make.
+#------------------------------------------------------------------------------
+# Assemble final list of compiler flags from generated content
+BSP_CFLAGS += \
+ $(BSP_CFLAGS_DEFINED_SYMBOLS) \
+ $(BSP_CFLAGS_UNDEFINED_SYMBOLS) \
+ $(BSP_CFLAGS_OPTIMIZATION) \
+ $(BSP_CFLAGS_DEBUG) \
+ $(BSP_CFLAGS_WARNINGS) \
+ $(BSP_CFLAGS_USER_FLAGS) \
+ $(ALT_CFLAGS) \
+ $(CFLAGS)
+
+# Make ready the final list of include directories and other C pre-processor
+# flags. Each include path is made ready by prefixing it with "-I".
+BSP_CPPFLAGS += \
+ $(addprefix -I, $(BSP_INC_DIRS)) \
+ $(addprefix -I, $(ALT_INCLUDE_DIRS)) \
+ $(ALT_CPPFLAGS) \
+ $(CPPFLAGS)
+
+# Finish off assembler flags with any user-provided flags
+BSP_ASFLAGS += $(ASFLAGS)
+
+# Finish off C++ flags with any user-provided flags
+BSP_CXXFLAGS += $(CXXFLAGS)
+
+# And finally, the ordered list
+C_SRCS += $(GENERATED_C_LIB_SRCS) \
+ $(COMPONENT_C_LIB_SRCS)
+
+CXX_SRCS += $(GENERATED_CPP_LIB_SRCS) \
+ $(COMPONENT_CPP_LIB_SRCS)
+
+ASM_SRCS += $(GENERATED_ASM_LIB_SRCS) \
+ $(COMPONENT_ASM_LIB_SRCS)
+
+
+#------------------------------------------------------------------------------
+# LIST OF GENERATED FILES
+#
+# A Nios II BSP relies on the generation of several source files used
+# by both the BSP and any applications referencing the BSP.
+#------------------------------------------------------------------------------
+
+
+GENERATED_H_FILES := $(ABS_BSP_ROOT)/system.h
+
+GENERATED_LINKER_SCRIPT := $(ABS_BSP_ROOT)/linker.x
+
+GENERATED_FILES += $(GENERATED_H_FILES) \
+ $(GENERATED_LINKER_SCRIPT)
+
+
+#------------------------------------------------------------------------------
+# SETUP TO BUILD OBJECTS
+#
+# List of object files which are to be built. This is constructed from the input
+# list of C source files (C_SRCS), C++ source files (CXX_SRCS), and assembler
+# source file (ASM_SRCS). The permitted file extensions are:
+#
+# .c .C - for C files
+# .cxx .cc .cpp .CXX .CC .CPP - for C++ files
+# .S .s - for assembly files
+#
+# Extended description: The list of objects is a sorted list (duplicates
+# removed) of all possible objects, placed beneath the ./obj directory,
+# including any path information stored in the "*_SRCS" variable. The
+# "patsubst" commands are used to concatenate together multiple file suffix
+# types for common files (i.e. c++ as .cxx, .cc, .cpp).
+#
+# File extensions are case-insensitive in build rules with the exception of
+# assembly sources. Nios II assembly sources with the ".S" extension are first
+# run through the C preprocessor. Sources with the ".s" extension are not.
+#------------------------------------------------------------------------------
+OBJS = $(sort $(addprefix $(OBJ_DIR)/, \
+ $(patsubst %.c, %.o, $(patsubst %.C, %.o, $(C_SRCS))) \
+ $(patsubst %.cxx, %.o, $(patsubst %.CXX, %.o, \
+ $(patsubst %.cc, %.o, $(patsubst %.CC, %.o, \
+ $(patsubst %.cpp, %.o, $(patsubst %.CPP, %.o, \
+ $(CXX_SRCS) )))))) \
+ $(patsubst %.S, %.o, $(patsubst %.s, %.o, $(ASM_SRCS))) ))
+
+# List of dependancy files for each object file.
+DEPS = $(OBJS:.o=.d)
+
+
+# Rules to force your project to rebuild or relink
+# .force_relink file will cause any application that depends on this project to relink
+# .force_rebuild file will cause this project to rebuild object files
+# .force_rebuild_all file will cause this project and any project that depends on this project to rebuild object files
+
+FORCE_RELINK_DEP := .force_relink
+FORCE_REBUILD_DEP := .force_rebuild
+FORCE_REBUILD_ALL_DEP := .force_rebuild_all
+FORCE_REBUILD_DEP_LIST := $(FORCE_RELINK_DEP) $(FORCE_REBUILD_DEP) $(FORCE_REBUILD_ALL_DEP)
+
+$(FORCE_REBUILD_DEP_LIST):
+
+$(OBJS): $(wildcard $(FORCE_REBUILD_DEP)) $(wildcard $(FORCE_REBUILD_ALL_DEP))
+
+
+#------------------------------------------------------------------------------
+# BUILD RULES: ALL & CLEAN
+#------------------------------------------------------------------------------
+.DELETE_ON_ERROR:
+
+.PHONY: all
+all: build_pre_process
+all: Makefile $(GENERATED_FILES) $(BSP_LIB) $(NEWLIB_DIR)
+all: build_post_process
+
+
+# clean: remove .o/.a/.d
+.PHONY: clean
+clean:
+ @$(RM) -r $(BSP_LIB) $(OBJ_DIR) $(FORCE_REBUILD_DEP_LIST)
+ifneq ($(wildcard $(NEWLIB_DIR)),)
+ @$(RM) -r $(NEWLIB_DIR)
+endif
+ @$(ECHO) [BSP clean complete]
+
+
+#------------------------------------------------------------------------------
+# BUILD PRE/POST PROCESS
+#------------------------------------------------------------------------------
+build_pre_process :
+ $(BUILD_PRE_PROCESS)
+
+build_post_process :
+ $(BUILD_POST_PROCESS)
+
+.PHONY: build_pre_process build_post_process
+
+
+
+#------------------------------------------------------------------------------
+# MAKEFILE UP TO DATE?
+#
+# Is this very Makefile up to date? Someone may have changed the BSP settings
+# file or the associated target hardware.
+#------------------------------------------------------------------------------
+# Skip this check when clean is the only target
+ifneq ($(MAKECMDGOALS),clean)
+
+ifneq ($(wildcard $(SETTINGS_FILE)),$(SETTINGS_FILE))
+$(warning Warning: BSP Settings File $(SETTINGS_FILE) could not be found.)
+endif
+
+Makefile: $(wildcard $(SETTINGS_FILE))
+ @$(ECHO) Makefile not up to date.
+ @$(ECHO) $(SETTINGS_FILE) has been modified since the BSP Makefile was generated.
+ @$(ECHO)
+ @$(ECHO) Generate the BSP to update the Makefile, and then build again.
+ @$(ECHO)
+ @$(ECHO) To generate from Eclipse:
+ @$(ECHO) " 1. Right-click the BSP project."
+ @$(ECHO) " 2. In the Nios II Menu, click Generate BSP."
+ @$(ECHO)
+ @$(ECHO) To generate from the command line:
+ @$(ECHO) " nios2-bsp-generate-files --settings=<settings file> --bsp-dir=<target bsp files directory>"
+ @$(ECHO)
+ @exit 1
+
+ifneq ($(wildcard $(SOPC_FILE)),$(SOPC_FILE))
+$(warning Warning: SOPC File $(SOPC_FILE) could not be found.)
+endif
+
+public.mk: $(wildcard $(SOPC_FILE))
+ @$(ECHO) Makefile not up to date.
+ @$(ECHO) $(SOPC_FILE) has been modified since the BSP was generated.
+ @$(ECHO)
+ @$(ECHO) Generate the BSP to update the Makefile, and then build again.
+ @$(ECHO)
+ @$(ECHO) To generate from Eclipse:
+ @$(ECHO) " 1. Right-click the BSP project."
+ @$(ECHO) " 2. In the Nios II Menu, click Generate BSP."
+ @$(ECHO)
+ @$(ECHO) To generate from the command line:
+ @$(ECHO) " nios2-bsp-generate-files --settings=<settings file> --bsp-dir=<target bsp files directory>"
+ @$(ECHO)
+ @exit 1
+
+endif # $(MAKECMDGOALS) != clean
+
+#------------------------------------------------------------------------------
+# PATTERN RULES TO BUILD OBJECTS
+#------------------------------------------------------------------------------
+$(OBJ_DIR)/%.o: %.c
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CC_PRE_PROCESS)
+ $(CC) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CC_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.C
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CC_PRE_PROCESS)
+ $(CC) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CC_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.cpp
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.CPP
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.cc
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.CC
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.cxx
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.CXX
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.S
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(AS_PRE_PROCESS)
+ $(AS) -MP -MMD -c $(BSP_CFLAGS) $(BSP_CPPFLAGS) $(BSP_ASFLAGS) -o $@ $<
+ $(AS_POST_PROCESS)
+
+$(OBJ_DIR)/%.o: %.s
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(AS_PRE_PROCESS)
+ $(AS) -MP -MMD -c $(BSP_ASFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(AS_POST_PROCESS)
+
+# Pattern rules for making useful intermediate files
+$(OBJ_DIR)/%.s: %.c
+ @$(ECHO) Compiling $(<F) to assembler...
+ @$(MKDIR) $(@D)
+ $(CC_PRE_PROCESS)
+ $(CC) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CC_POST_PROCESS)
+
+$(OBJ_DIR)/%.s: %.cpp
+ @$(ECHO) Compiling $(<F) to assembler...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_PRE_PROCESS)
+
+$(OBJ_DIR)/%.s: %.cc
+ @$(ECHO) Compiling $(<F) to assembler...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_PRE_PROCESS)
+
+$(OBJ_DIR)/%.s: %.cxx
+ @$(ECHO) Compiling $(<F) to assembler...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_PRE_PROCESS)
+
+$(OBJ_DIR)/%.i: %.c
+ @$(ECHO) Compiling $(<F) to assembler...
+ @$(MKDIR) $(@D)
+ $(CC_PRE_PROCESS)
+ $(CC) -E $(CPPFLAGS) $(ALT_CFLAGS) $(CFLAGS) -o $@ $<
+ $(CC_PRE_PROCESS)
+
+$(OBJ_DIR)/%.i: %.cpp
+ @$(ECHO) Compiling $(<F) to assembler...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_PRE_PROCESS)
+
+$(OBJ_DIR)/%.i: %.cc
+ @$(ECHO) Compiling $(<F) to assembler...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_PRE_PROCESS)
+
+$(OBJ_DIR)/%.i: %.cxx
+ @$(ECHO) Compiling $(<F) to assembler...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_PRE_PROCESS)
+
+# Pattern rules for building other object files.
+%.o: %.c
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CC_PRE_PROCESS)
+ $(CC) -MP -MMD -c $(CPPFLAGS) $(ALT_CFLAGS) $(CFLAGS) -o $@ $<
+ $(CC_POST_PROCESS)
+
+%.o: %.cpp
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_POST_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+%.o: %.cc
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_POST_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+%.o: %.cxx
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(CXX_PRE_PROCESS)
+ $(CXX) -MP -MMD -c $(BSP_CPPFLAGS) $(BSP_CXXFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(CXX_POST_PROCESS)
+
+%.o: %.S
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(AS_PRE_PROCESS)
+ $(AS) -MP -MMD -c $(BSP_CFLAGS) $(BSP_CPPFLAGS) $(BSP_ASFLAGS) -o $@ $<
+ $(AS_POST_PROCESS)
+
+%.o: %.s
+ @$(ECHO) Compiling $(<F)...
+ @$(MKDIR) $(@D)
+ $(AS_PRE_PROCESS)
+ $(AS) -MP -MMD -c $(BSP_ASFLAGS) $(BSP_CFLAGS) -o $@ $<
+ $(AS_POST_PROCESS)
+
+
+#------------------------------------------------------------------------------
+# NEWLIB RULES
+#------------------------------------------------------------------------------
+
+ifneq ($(COMPILE_NEWLIB),)
+NEWLIB_FLAGS += $(BSP_CFLAGS)
+endif
+
+ifneq ($(NEWLIB_FLAGS),)
+ALT_LIBRARY_DIRS += $(NEWLIB_DIR)/nios2-elf/lib
+endif
+
+$(NEWLIB_DIR):
+ifneq ($(NEWLIB_FLAGS),)
+ @$(ECHO) Creating $(NEWLIB_DIR)...
+ nios2-newlib-gen --no-multilib $(NEWLIB_DIR)-build-tmp $(NEWLIB_DIR) --custom "$(NEWLIB_FLAGS)"
+ @$(ECHO) Removing $(NEWLIB_DIR)-build-tmp...
+ @$(RM) -rf $(NEWLIB_DIR)-build-tmp
+endif
+
+#------------------------------------------------------------------------------
+# LIBRARY RULES
+#------------------------------------------------------------------------------
+$(BSP_LIB): $(OBJS)
+ @$(ECHO) Creating $@...
+ $(AR_PRE_PROCESS)
+ $(RM) -f $@
+ $(AR) $(BSP_ARFLAGS) $@ $^
+ $(AR_POST_PROCESS)
+
+
+#------------------------------------------------------------------------------
+# DEPENDENCY FILES
+#------------------------------------------------------------------------------
+ifneq ($(findstring clean, $(MAKECMDGOALS)),clean)
+-include $(DEPS)
+endif
+
+# End of Makefile
+
+
diff --git a/hardware/software/pong3_bsp/create-this-bsp b/hardware/software/pong3_bsp/create-this-bsp
new file mode 100755
index 0000000..8574282
--- /dev/null
+++ b/hardware/software/pong3_bsp/create-this-bsp
@@ -0,0 +1,49 @@
+#!/bin/bash
+#
+# This script creates the ucosii_net_zipfs Board Support Package (BSP).
+
+BSP_TYPE=hal
+BSP_DIR=.
+SOPC_DIR=../../
+SOPC_FILE=../../my_sys.sopcinfo
+NIOS2_BSP_ARGS=""
+CPU_NAME=
+
+
+# Don't run make if create-this-app script is called with --no-make arg
+SKIP_MAKE=
+while [ $# -gt 0 ]
+do
+ case "$1" in
+ --no-make)
+ SKIP_MAKE=1
+ ;;
+ *)
+ NIOS2_BSP_ARGS="$NIOS2_BSP_ARGS $1"
+ ;;
+ esac
+ shift
+done
+
+
+# Run nios2-bsp utility to create a hal BSP in this directory
+# for the system with a .sopc file in $SOPC_FILE.
+# Deprecating $SOPC_DIR in 10.1. Multiple .sopcinfo files in a directory may exist.
+
+if [ -z "$SOPC_FILE" ]; then
+ echo "WARNING: Use of a directory for locating a .sopcinfo file is deprecated in 10.1. Multiple .sopcinfo files may exist. You must specify the full .sopcinfo path."
+ cmd="nios2-bsp $BSP_TYPE $BSP_DIR $SOPC_DIR $NIOS2_BSP_ARGS $CPU_NAME"
+else
+ cmd="nios2-bsp $BSP_TYPE $BSP_DIR $SOPC_FILE $NIOS2_BSP_ARGS $CPU_NAME"
+fi
+
+
+echo "create-this-bsp: Running \"$cmd\""
+$cmd || {
+ echo "$cmd failed"
+ exit 1
+}
+if [ -z "$SKIP_MAKE" ]; then
+ echo "create-this-bsp: Running make"
+ make
+fi
diff --git a/hardware/software/pong3_bsp/settings.bsp b/hardware/software/pong3_bsp/settings.bsp
new file mode 100644
index 0000000..9f884af
--- /dev/null
+++ b/hardware/software/pong3_bsp/settings.bsp
@@ -0,0 +1,937 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<sch:Settings xmlns:sch="http://www.altera.com/embeddedsw/bsp/schema">
+ <BspType>hal</BspType>
+ <BspVersion>default</BspVersion>
+ <BspGeneratedTimeStamp>Oct 10, 2013 11:34:52 AM</BspGeneratedTimeStamp>
+ <BspGeneratedUnixTimeStamp>1381401292694</BspGeneratedUnixTimeStamp>
+ <BspGeneratedLocation>/home/root/projects/altera/pong3/hardware/software/pong3_bsp</BspGeneratedLocation>
+ <BspSettingsFile>./settings.bsp</BspSettingsFile>
+ <SopcDesignFile>../../my_sys.sopcinfo</SopcDesignFile>
+ <JdiFile>default</JdiFile>
+ <Cpu>nios2_qsys_0</Cpu>
+ <SchemaVersion>1.9</SchemaVersion>
+ <Setting>
+ <SettingName>hal.sys_clk_timer</SettingName>
+ <Identifier>ALT_SYS_CLK</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>timer_0</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>system_h_define</DestinationFile>
+ <Description>Slave descriptor of the system clock timer device. This device provides a periodic interrupt ("tick") and is typically required for RTOS use. This setting defines the value of ALT_SYS_CLK in system.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.timestamp_timer</SettingName>
+ <Identifier>ALT_TIMESTAMP_CLK</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>system_h_define</DestinationFile>
+ <Description>Slave descriptor of timestamp timer device. This device is used by Altera HAL timestamp drivers for high-resolution time measurement. This setting defines the value of ALT_TIMESTAMP_CLK in system.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.max_file_descriptors</SettingName>
+ <Identifier>ALT_MAX_FD</Identifier>
+ <Type>DecimalNumber</Type>
+ <Value>32</Value>
+ <DefaultValue>32</DefaultValue>
+ <DestinationFile>system_h_define</DestinationFile>
+ <Description>Determines the number of file descriptors statically allocated. This setting defines the value of ALT_MAX_FD in system.h.</Description>
+ <Restrictions>If hal.enable_lightweight_device_driver_api is true, there are no file descriptors so this setting is ignored. If hal.enable_lightweight_device_driver_api is false, this setting must be at least 4 because HAL needs a file descriptor for /dev/null, /dev/stdin, /dev/stdout, and /dev/stderr.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_instruction_related_exceptions_api</SettingName>
+ <Identifier>ALT_INCLUDE_INSTRUCTION_RELATED_EXCEPTION_API</Identifier>
+ <Type>BooleanDefineOnly</Type>
+ <Value>false</Value>
+ <DefaultValue>false</DefaultValue>
+ <DestinationFile>system_h_define</DestinationFile>
+ <Description>Enables API for registering handlers to service instruction-related exceptions. Enabling this setting increases the size of the exception entry code.</Description>
+ <Restrictions>These exception types can be generated if various processor options are enabled, such as the MMU, MPU, or other advanced exception types.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.allow_code_at_reset</SettingName>
+ <Identifier>ALT_ALLOW_CODE_AT_RESET</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Indicates if initialization code is allowed at the reset address. If true, defines the macro ALT_ALLOW_CODE_AT_RESET in linker.h.</Description>
+ <Restrictions>If true, defines the macro ALT_ALLOW_CODE_AT_RESET in linker.h. This setting is typically false if an external bootloader (e.g. flash bootloader) is present.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.enable_alt_load</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Enables the alt_load() facility. The alt_load() facility copies sections from the .text memory into RAM. If true, this setting sets up the VMA/LMA of sections in linker.x to allow them to be loaded into the .text memory.</Description>
+ <Restrictions>This setting is typically false if an external bootloader (e.g. flash bootloader) is present.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.enable_alt_load_copy_rodata</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Causes the alt_load() facility to copy the .rodata section. If true, this setting defines the macro ALT_LOAD_COPY_RODATA in linker.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.enable_alt_load_copy_rwdata</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Causes the alt_load() facility to copy the .rwdata section. If true, this setting defines the macro ALT_LOAD_COPY_RWDATA in linker.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.enable_alt_load_copy_exceptions</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Causes the alt_load() facility to copy the .exceptions section. If true, this setting defines the macro ALT_LOAD_COPY_EXCEPTIONS in linker.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.enable_exception_stack</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Enables use of a separate exception stack. If true, defines the macro ALT_EXCEPTION_STACK in linker.h, adds a memory region called exception_stack to linker.x, and provides the symbols __alt_exception_stack_pointer and __alt_exception_stack_limit in linker.x.</Description>
+ <Restrictions>The hal.linker.exception_stack_size and hal.linker.exception_stack_memory_region_name settings must also be valid. This setting must be false for MicroC/OS-II BSPs. The exception stack can be used to improve interrupt and other exception performance if the EIC is *not* used.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.exception_stack_size</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>DecimalNumber</Type>
+ <Value>1024</Value>
+ <DefaultValue>1024</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Size of the exception stack in bytes.</Description>
+ <Restrictions>Only used if hal.linker.enable_exception_stack is true.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.exception_stack_memory_region_name</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>sdram_0</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Name of the existing memory region that will be divided up to create the 'exception_stack' memory region. The selected region name will be adjusted automatically when the BSP is generated to create the 'exception_stack' memory region.</Description>
+ <Restrictions>Only used if hal.linker.enable_exception_stack is true.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.enable_interrupt_stack</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Enables use of a separate interrupt stack. If true, defines the macro ALT_INTERRUPT_STACK in linker.h, adds a memory region called interrupt_stack to linker.x, and provides the symbols __alt_interrupt_stack_pointer and __alt_interrupt_stack_limit in linker.x.</Description>
+ <Restrictions>The hal.linker.interrupt_stack_size and hal.linker.interrupt_stack_memory_region_name settings must also be valid. This setting must be false for MicroC/OS-II BSPs. Only enable if the EIC is used exclusively. The exception stack can be used to improve interrupt and other exception performance if the EIC is *not* used.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.interrupt_stack_size</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>DecimalNumber</Type>
+ <Value>1024</Value>
+ <DefaultValue>1024</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Size of the interrupt stack in bytes.</Description>
+ <Restrictions>Only used if hal.linker.enable_interrupt_stack is true.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.linker.interrupt_stack_memory_region_name</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>sdram_0</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>none</DestinationFile>
+ <Description>Name of the existing memory region that will be divided up to create the 'interrupt_stack' memory region. The selected region name will be adjusted automatically when the BSP is generated to create the 'interrupt_stack' memory region.</Description>
+ <Restrictions>Only used if hal.linker.enable_interrupt_stack is true.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.stdin</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>jtag_uart_0</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>system_h_define</DestinationFile>
+ <Description>Slave descriptor of STDIN character-mode device. This setting is used by the ALT_STDIN family of defines in system.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.stdout</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>jtag_uart_0</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>system_h_define</DestinationFile>
+ <Description>Slave descriptor of STDOUT character-mode device. This setting is used by the ALT_STDOUT family of defines in system.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.stderr</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>jtag_uart_0</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>system_h_define</DestinationFile>
+ <Description>Slave descriptor of STDERR character-mode device. This setting is used by the ALT_STDERR family of defines in system.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.log_port</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Slave descriptor of debug logging character-mode device. If defined, it enables extra debug messages in the HAL source. This setting is used by the ALT_LOG_PORT family of defines in system.h.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.build_pre_process</SettingName>
+ <Identifier>BUILD_PRE_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed before BSP built.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ar_pre_process</SettingName>
+ <Identifier>AR_PRE_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed before archiver execution.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_cflags_defined_symbols</SettingName>
+ <Identifier>BSP_CFLAGS_DEFINED_SYMBOLS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Preprocessor macros to define. A macro definition in this setting has the same effect as a "#define" in source code. Adding "-DALT_DEBUG" to this setting has the same effect as "#define ALT_DEBUG" in a souce file. Adding "-DFOO=1" to this setting is equivalent to the macro "#define FOO 1" in a source file. Macros defined with this setting are applied to all .S, .c, and C++ files in the BSP. This setting defines the value of BSP_CFLAGS_DEFINED_SYMBOLS in the BSP Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ar_post_process</SettingName>
+ <Identifier>AR_POST_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed after archiver execution.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.as</SettingName>
+ <Identifier>AS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>nios2-elf-gcc</Value>
+ <DefaultValue>nios2-elf-gcc</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Assembler command. Note that CC is used for .S files.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.build_post_process</SettingName>
+ <Identifier>BUILD_POST_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed after BSP built.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_cflags_debug</SettingName>
+ <Identifier>BSP_CFLAGS_DEBUG</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>-g</Value>
+ <DefaultValue>-g</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>C/C++ compiler debug level. '-g' provides the default set of debug symbols typically required to debug a typical application. Omitting '-g' removes debug symbols from the ELF. This setting defines the value of BSP_CFLAGS_DEBUG in Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ar</SettingName>
+ <Identifier>AR</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>nios2-elf-ar</Value>
+ <DefaultValue>nios2-elf-ar</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Archiver command. Creates library files.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.rm</SettingName>
+ <Identifier>RM</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>rm -f</Value>
+ <DefaultValue>rm -f</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command used to remove files during 'clean' target.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.cxx_pre_process</SettingName>
+ <Identifier>CXX_PRE_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed before each C++ file is compiled.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_cflags_warnings</SettingName>
+ <Identifier>BSP_CFLAGS_WARNINGS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>-Wall</Value>
+ <DefaultValue>-Wall</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>C/C++ compiler warning level. "-Wall" is commonly used.This setting defines the value of BSP_CFLAGS_WARNINGS in Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_arflags</SettingName>
+ <Identifier>BSP_ARFLAGS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>-src</Value>
+ <DefaultValue>-src</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Custom flags only passed to the archiver. This content of this variable is directly passed to the archiver rather than the more standard "ARFLAGS". The reason for this is that GNU Make assumes some default content in ARFLAGS. This setting defines the value of BSP_ARFLAGS in Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_cflags_optimization</SettingName>
+ <Identifier>BSP_CFLAGS_OPTIMIZATION</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>-O0</Value>
+ <DefaultValue>-O0</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>C/C++ compiler optimization level. "-O0" = no optimization,"-O2" = "normal" optimization, etc. "-O0" is recommended for code that you want to debug since compiler optimization can remove variables and produce non-sequential execution of code while debugging. This setting defines the value of BSP_CFLAGS_OPTIMIZATION in Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.as_post_process</SettingName>
+ <Identifier>AS_POST_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed after each assembly file is compiled.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.cc_pre_process</SettingName>
+ <Identifier>CC_PRE_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed before each .c/.S file is compiled.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_asflags</SettingName>
+ <Identifier>BSP_ASFLAGS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>-Wa,-gdwarf2</Value>
+ <DefaultValue>-Wa,-gdwarf2</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Custom flags only passed to the assembler. This setting defines the value of BSP_ASFLAGS in Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.as_pre_process</SettingName>
+ <Identifier>AS_PRE_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed before each assembly file is compiled.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_cflags_undefined_symbols</SettingName>
+ <Identifier>BSP_CFLAGS_UNDEFINED_SYMBOLS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Preprocessor macros to undefine. Undefined macros are similar to defined macros, but replicate the "#undef" directive in source code. To undefine the macro FOO use the syntax "-u FOO" in this setting. This is equivalent to "#undef FOO" in a source file. Note: the syntax differs from macro definition (there is a space, i.e. "-u FOO" versus "-DFOO"). Macros defined with this setting are applied to all .S, .c, and C++ files in the BSP. This setting defines the value of BSP_CFLAGS_UNDEFINED_SYMBOLS in the BSP Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.cc_post_process</SettingName>
+ <Identifier>CC_POST_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed after each .c/.S file is compiled.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.cxx_post_process</SettingName>
+ <Identifier>CXX_POST_PROCESS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Command executed before each C++ file is compiled.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.cc</SettingName>
+ <Identifier>CC</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>nios2-elf-gcc -xc</Value>
+ <DefaultValue>nios2-elf-gcc -xc</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>C compiler command.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_cxx_flags</SettingName>
+ <Identifier>BSP_CXXFLAGS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Custom flags only passed to the C++ compiler. This setting defines the value of BSP_CXXFLAGS in Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_inc_dirs</SettingName>
+ <Identifier>BSP_INC_DIRS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Space separated list of extra include directories to scan for header files. Directories are relative to the top-level BSP directory. The -I prefix's added by the makefile so don't add it here. This setting defines the value of BSP_INC_DIRS in Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.cxx</SettingName>
+ <Identifier>CXX</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>nios2-elf-gcc -xc++</Value>
+ <DefaultValue>nios2-elf-gcc -xc++</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>C++ compiler command.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.bsp_cflags_user_flags</SettingName>
+ <Identifier>BSP_CFLAGS_USER_FLAGS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>makefile_variable</DestinationFile>
+ <Description>Custom flags passed to the compiler when compiling C, C++, and .S files. This setting defines the value of BSP_CFLAGS_USER_FLAGS in Makefile.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.sopc_system_id</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query SOPC system for system ID. If true ignores export of 'SOPC_SYSID_FLAG += --id=&lt;sysid>' and 'ELF_PATCH_FLAG += --id=&lt;sysid>' to public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.sopc_system_timestamp</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query SOPC system for system timestamp. If true ignores export of 'SOPC_SYSID_FLAG += --timestamp=&lt;timestamp>' and 'ELF_PATCH_FLAG += --timestamp=&lt;timestamp>' to public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.sopc_system_base_address</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query SOPC system for system ID base address. If true ignores export of 'SOPC_SYSID_FLAG += --sidp=&lt;address>' and 'ELF_PATCH_FLAG += --sidp=&lt;address>' to public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.sopc_simulation_enabled</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system has simulation enabled. If true ignores export of 'ELF_PATCH_FLAG += --simulation_enabled' to public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.fpu_present</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system has FPU present. If true ignores export of 'ALT_CFLAGS += -mhard-float' to public.mk if FPU is found in the system. If true ignores export of 'ALT_CFLAGS += -mhard-soft' if FPU is not found in the system.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.hardware_multiplier_present</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system has multiplier present. If true ignores export of 'ALT_CFLAGS += -mno-hw-mul' to public.mk if no multiplier is found in the system. If true ignores export of 'ALT_CFLAGS += -mhw-mul' if multiplier is found in the system.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.hardware_mulx_present</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system has hardware mulx present. If true ignores export of 'ALT_CFLAGS += -mno-hw-mulx' to public.mk if no mulx is found in the system. If true ignores export of 'ALT_CFLAGS += -mhw-mulx' if mulx is found in the system.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.hardware_divide_present</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system has hardware divide present. If true ignores export of 'ALT_CFLAGS += -mno-hw-div' to public.mk if no division is found in system. If true ignores export of 'ALT_CFLAGS += -mhw-div' if division is found in the system.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.debug_core_present</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system has a debug core present. If true ignores export of 'CPU_HAS_DEBUG_CORE = 1' to public.mk if a debug core is found in the system. If true ignores export of 'CPU_HAS_DEBUG_CORE = 0' if no debug core is found in the system.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.big_endian</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system is big endian. If true ignores export of 'ALT_CFLAGS += -EB' to public.mk if big endian system. If true ignores export of 'ALT_CFLAGS += -EL' if little endian system.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.hardware_fp_cust_inst_divider_present</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system floating point custom instruction with a divider is present. If true ignores export of 'ALT_CFLAGS += -mcustom-fpu-cfg=60-2' and 'ALT_LDFLAGS += -mcustom-fpu-cfg=60-2' to public.mk if the custom instruction is found in the system.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.make.ignore_system_derived.hardware_fp_cust_inst_no_divider_present</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable BSP generation to query if SOPC system floating point custom instruction without a divider is present. If true ignores export of 'ALT_CFLAGS += -mcustom-fpu-cfg=60-1' and 'ALT_LDFLAGS += -mcustom-fpu-cfg=60-1' to public.mk if the custom instruction is found in the system.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_exit</SettingName>
+ <Identifier>ALT_NO_EXIT</Identifier>
+ <Type>Boolean</Type>
+ <Value>1</Value>
+ <DefaultValue>1</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Add exit() support. This option increases code footprint if your "main()" routine does "return" or call "exit()". If false, adds -DALT_NO_EXIT to ALT_CPPFLAGS in public.mk, and reduces footprint</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_small_c_library</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Causes the small newlib (C library) to be used. This reduces code and data footprint at the expense of reduced functionality. Several newlib features are removed such as floating-point support in printf(), stdin input routines, and buffered I/O. The small C library is not compatible with Micrium MicroC/OS-II. If true, adds -msmallc to ALT_LDFLAGS in public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_clean_exit</SettingName>
+ <Identifier>ALT_NO_CLEAN_EXIT</Identifier>
+ <Type>Boolean</Type>
+ <Value>1</Value>
+ <DefaultValue>1</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>When your application exits, close file descriptors, call C++ destructors, etc. Code footprint can be reduced by disabling clean exit. If disabled, adds -DALT_NO_CLEAN_EXIT to ALT_CPPFLAGS and -Wl,--defsym, exit=_exit to ALT_LDFLAGS in public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_runtime_stack_checking</SettingName>
+ <Identifier>ALT_STACK_CHECK</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Turns on HAL runtime stack checking feature. Enabling this setting causes additional code to be placed into each subroutine call to generate an exception if a stack collision occurs with the heap or statically allocated data. If true, adds -DALT_STACK_CHECK and -mstack-check to ALT_CPPFLAGS in public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_gprof</SettingName>
+ <Identifier>ALT_PROVIDE_GMON</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Causes code to be compiled with gprof profiling enabled and the application ELF to be linked with the GPROF library. If true, adds -DALT_PROVIDE_GMON to ALT_CPPFLAGS and -pg to ALT_CFLAGS in public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_c_plus_plus</SettingName>
+ <Identifier>ALT_NO_C_PLUS_PLUS</Identifier>
+ <Type>Boolean</Type>
+ <Value>1</Value>
+ <DefaultValue>1</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable support for a subset of the C++ language. This option increases code footprint by adding support for C++ constructors. Certain features, such as multiple inheritance and exceptions are not supported. If false, adds -DALT_NO_C_PLUS_PLUS to ALT_CPPFLAGS in public.mk, and reduces code footprint.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_reduced_device_drivers</SettingName>
+ <Identifier>ALT_USE_SMALL_DRIVERS</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Certain drivers are compiled with reduced functionality to reduce code footprint. Not all drivers observe this setting. The altera_avalon_uart and altera_avalon_jtag_uart drivers switch from interrupt-driven to polled operation. CAUTION: Several device drivers are disabled entirely. These include the altera_avalon_cfi_flash, altera_avalon_epcs_flash_controller, and altera_avalon_lcd_16207 drivers. This can result in certain API (HAL flash access routines) to fail. You can define a symbol provided by each driver to prevent it from being removed. If true, adds -DALT_USE_SMALL_DRIVERS to ALT_CPPFLAGS in public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_lightweight_device_driver_api</SettingName>
+ <Identifier>ALT_USE_DIRECT_DRIVERS</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enables lightweight device driver API. This reduces code and data footprint by removing the HAL layer that maps device names (e.g. /dev/uart0) to file descriptors. Instead, driver routines are called directly. The open(), close(), and lseek() routines will always fail if called. The read(), write(), fstat(), ioctl(), and isatty() routines only work for the stdio devices. If true, adds -DALT_USE_DIRECT_DRIVERS to ALT_CPPFLAGS in public.mk.</Description>
+ <Restrictions>The Altera Host and read-only ZIP file systems can't be used if hal.enable_lightweight_device_driver_api is true.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_mul_div_emulation</SettingName>
+ <Identifier>ALT_NO_INSTRUCTION_EMULATION</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Adds code to emulate multiply and divide instructions in case they are executed but aren't present in the CPU. Normally this isn't required because the compiler won't use multiply and divide instructions that aren't present in the CPU. If false, adds -DALT_NO_INSTRUCTION_EMULATION to ALT_CPPFLAGS in public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_sim_optimize</SettingName>
+ <Identifier>ALT_SIM_OPTIMIZE</Identifier>
+ <Type>Boolean</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>The BSP is compiled with optimizations to speedup HDL simulation such as initializing the cache, clearing the .bss section, and skipping long delay loops. If true, adds -DALT_SIM_OPTIMIZE to ALT_CPPFLAGS in public.mk.</Description>
+ <Restrictions>When this setting is true, the BSP shouldn't be used to build applications that are expected to run real hardware.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>common</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.enable_sopc_sysid_check</SettingName>
+ <Identifier>NONE</Identifier>
+ <Type>Boolean</Type>
+ <Value>1</Value>
+ <DefaultValue>1</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable SOPC Builder System ID. If a System ID SOPC Builder component is connected to the CPU associated with this BSP, it will be enabled in the creation of command-line arguments to download an ELF to the target. Otherwise, system ID and timestamp values are left out of public.mk for application Makefile "download-elf" target definition. With the system ID check disabled, the Nios II EDS tools will not automatically ensure that the application .elf file (and BSP it is linked against) corresponds to the hardware design on the target. If false, adds --accept-bad-sysid to SOPC_SYSID_FLAG in public.mk.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.custom_newlib_flags</SettingName>
+ <Identifier>CUSTOM_NEWLIB_FLAGS</Identifier>
+ <Type>UnquotedString</Type>
+ <Value>none</Value>
+ <DefaultValue>none</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Build a custom version of newlib with the specified space-separated compiler flags.</Description>
+ <Restrictions>The custom newlib build will be placed in the &amp;lt;bsp root>/newlib directory, and will be used only for applications that utilize this BSP.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>hal.log_flags</SettingName>
+ <Identifier>ALT_LOG_FLAGS</Identifier>
+ <Type>DecimalNumber</Type>
+ <Value>0</Value>
+ <DefaultValue>0</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>The value is assigned to ALT_LOG_FLAGS in the generated public.mk. See hal.log_port setting description. Values can be -1 through 3.</Description>
+ <Restrictions>hal.log_port must be set for this to be used.</Restrictions>
+ <Enabled>false</Enabled>
+ <Group>none</Group>
+ </Setting>
+ <Setting>
+ <SettingName>altera_avalon_jtag_uart_driver.enable_small_driver</SettingName>
+ <Identifier>ALTERA_AVALON_JTAG_UART_SMALL</Identifier>
+ <Type>BooleanDefineOnly</Type>
+ <Value>false</Value>
+ <DefaultValue>false</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Small-footprint (polled mode) driver</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <Setting>
+ <SettingName>altera_avalon_jtag_uart_driver.enable_jtag_uart_ignore_fifo_full_error</SettingName>
+ <Identifier>ALTERA_AVALON_JTAG_UART_IGNORE_FIFO_FULL_ERROR</Identifier>
+ <Type>BooleanDefineOnly</Type>
+ <Value>false</Value>
+ <DefaultValue>false</DefaultValue>
+ <DestinationFile>public_mk_define</DestinationFile>
+ <Description>Enable JTAG UART driver to recover when host is inactive causing buffer to full without returning error. Printf will not fail with this recovery.</Description>
+ <Restrictions>none</Restrictions>
+ <Enabled>false</Enabled>
+ <Group xsi:nil="true" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"/>
+ </Setting>
+ <MemoryMap>
+ <slaveDescriptor>sdram_0</slaveDescriptor>
+ <addressRange>0x02000000 - 0x03FFFFFF</addressRange>
+ <addressSpan>33554432</addressSpan>
+ <attributes>memory</attributes>
+ </MemoryMap>
+ <MemoryMap>
+ <slaveDescriptor>onchip_memory2_0</slaveDescriptor>
+ <addressRange>0x04001000 - 0x04001FFF</addressRange>
+ <addressSpan>4096</addressSpan>
+ <attributes>memory</attributes>
+ </MemoryMap>
+ <MemoryMap>
+ <slaveDescriptor>epcs_flash_controller_0</slaveDescriptor>
+ <addressRange>0x04003000 - 0x040037FF</addressRange>
+ <addressSpan>2048</addressSpan>
+ <attributes>flash, memory, non-volatile</attributes>
+ </MemoryMap>
+ <MemoryMap>
+ <slaveDescriptor>gpu_0</slaveDescriptor>
+ <addressRange>0x04004200 - 0x040043FF</addressRange>
+ <addressSpan>512</addressSpan>
+ <attributes/>
+ </MemoryMap>
+ <MemoryMap>
+ <slaveDescriptor>timer_0</slaveDescriptor>
+ <addressRange>0x04004420 - 0x0400443F</addressRange>
+ <addressSpan>32</addressSpan>
+ <attributes>timer</attributes>
+ </MemoryMap>
+ <MemoryMap>
+ <slaveDescriptor>pio_0</slaveDescriptor>
+ <addressRange>0x04004450 - 0x0400445F</addressRange>
+ <addressSpan>16</addressSpan>
+ <attributes/>
+ </MemoryMap>
+ <MemoryMap>
+ <slaveDescriptor>dm9000a_0</slaveDescriptor>
+ <addressRange>0x04004468 - 0x0400446F</addressRange>
+ <addressSpan>8</addressSpan>
+ <attributes/>
+ </MemoryMap>
+ <MemoryMap>
+ <slaveDescriptor>jtag_uart_0</slaveDescriptor>
+ <addressRange>0x04004470 - 0x04004477</addressRange>
+ <addressSpan>8</addressSpan>
+ <attributes>printable</attributes>
+ </MemoryMap>
+ <LinkerSection>
+ <sectionName>.text</sectionName>
+ <regionName>sdram_0</regionName>
+ </LinkerSection>
+ <LinkerSection>
+ <sectionName>.rodata</sectionName>
+ <regionName>sdram_0</regionName>
+ </LinkerSection>
+ <LinkerSection>
+ <sectionName>.rwdata</sectionName>
+ <regionName>sdram_0</regionName>
+ </LinkerSection>
+ <LinkerSection>
+ <sectionName>.bss</sectionName>
+ <regionName>sdram_0</regionName>
+ </LinkerSection>
+ <LinkerSection>
+ <sectionName>.heap</sectionName>
+ <regionName>sdram_0</regionName>
+ </LinkerSection>
+ <LinkerSection>
+ <sectionName>.stack</sectionName>
+ <regionName>sdram_0</regionName>
+ </LinkerSection>
+</sch:Settings> \ No newline at end of file