summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--Makefile2
-rw-r--r--src/pong.c (renamed from src/pong3.c)0
-rwxr-xr-xtools/wrap8
3 files changed, 7 insertions, 3 deletions
diff --git a/Makefile b/Makefile
index 61d6b04..eb972b0 100644
--- a/Makefile
+++ b/Makefile
@@ -37,7 +37,7 @@ ans.stamp: source.stamp
${SOPC_FILE}:ans.stamp
-source.stamp:${SRC}
+source.stamp:${SRCS}
touch source.stamp
${ELF}: src/Makefile
diff --git a/src/pong3.c b/src/pong.c
index aba6ae6..aba6ae6 100644
--- a/src/pong3.c
+++ b/src/pong.c
diff --git a/tools/wrap b/tools/wrap
index 5dca448..26a0640 100755
--- a/tools/wrap
+++ b/tools/wrap
@@ -1,7 +1,11 @@
-#!/bin/sh
+#!/bin/bash
AD=/software/apps/altera/quartus_ii_13.0sp1
-LL=linux
+if [ $(uname -m ) == "x86_64" ]; then
+ LL=linux64
+else
+ LL=linux
+fi
QUARTUS_ROOTDIR="${AD}/quartus"
PATH="${AD}/quartus/bin:${AD}/quartus/sopc_builder/bin:${AD}/nios2eds/sdk2/bin:${AD}/nios2eds/bin:${AD}/nios2eds/bin/gnu/H-i686-pc-linux-gnu/bin:${PATH}"
LD_LIBRARY_PATH="${AD}/quartus/${LL}:${LD_LIBRARY_PATH}"