summaryrefslogtreecommitdiffstats
path: root/tools
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-12 11:31:04 +0100
committerJames <james.mckenzie@citrix.com>2013-10-12 11:31:04 +0100
commitd5553117c38966e51b52b7fb26ee433db5d664c9 (patch)
tree23bd1764e160b1d5237a493e09e2f61b0e359f4a /tools
parent4eac4fac1d9cbe51176bb1071cc35eb9456a74f0 (diff)
downloadpong-d5553117c38966e51b52b7fb26ee433db5d664c9.tar.gz
pong-d5553117c38966e51b52b7fb26ee433db5d664c9.tar.bz2
pong-d5553117c38966e51b52b7fb26ee433db5d664c9.zip
Main_makefile
Diffstat (limited to 'tools')
-rwxr-xr-xtools/wrap11
1 files changed, 11 insertions, 0 deletions
diff --git a/tools/wrap b/tools/wrap
new file mode 100755
index 0000000..4caed0f
--- /dev/null
+++ b/tools/wrap
@@ -0,0 +1,11 @@
+#!/bin/sh
+
+AD=/software/apps/altera/quartus_ii_13.0sp1
+LL=linux
+QUARTUS_ROOTDIR="${AD}/quartus"
+PATH="${AD}/quartus/bin:${AD}/nios2eds/sdk2/bin:${AD}/nios2eds/bin:${AD}/nios2eds/bin/gnu/H-i686-pc-linux-gnu/bin:${PATH}"
+LD_LIBRARY_PATH="${AD}/quartus/${LL}:${LD_LIBRARY_PATH}"
+
+export LD_LIBRARY_PATH PATH QUARTUS_ROOTDIR
+
+"$@"