summaryrefslogtreecommitdiffstats
path: root/tools/wrap
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-12 13:39:52 +0100
committerJames <james.mckenzie@citrix.com>2013-10-12 13:39:52 +0100
commit442bf25dcfdfa327a2210cc1553465c8e50fb074 (patch)
treeb19e3a4292f792976f50d413a497c7b313293bf9 /tools/wrap
parentbebd13aa0647d237e1c55a4e1338b87361674922 (diff)
downloadpong-442bf25dcfdfa327a2210cc1553465c8e50fb074.tar.gz
pong-442bf25dcfdfa327a2210cc1553465c8e50fb074.tar.bz2
pong-442bf25dcfdfa327a2210cc1553465c8e50fb074.zip
remove on chip memory
Diffstat (limited to 'tools/wrap')
-rwxr-xr-xtools/wrap2
1 files changed, 1 insertions, 1 deletions
diff --git a/tools/wrap b/tools/wrap
index 4caed0f..5dca448 100755
--- a/tools/wrap
+++ b/tools/wrap
@@ -3,7 +3,7 @@
AD=/software/apps/altera/quartus_ii_13.0sp1
LL=linux
QUARTUS_ROOTDIR="${AD}/quartus"
-PATH="${AD}/quartus/bin:${AD}/nios2eds/sdk2/bin:${AD}/nios2eds/bin:${AD}/nios2eds/bin/gnu/H-i686-pc-linux-gnu/bin:${PATH}"
+PATH="${AD}/quartus/bin:${AD}/quartus/sopc_builder/bin:${AD}/nios2eds/sdk2/bin:${AD}/nios2eds/bin:${AD}/nios2eds/bin/gnu/H-i686-pc-linux-gnu/bin:${PATH}"
LD_LIBRARY_PATH="${AD}/quartus/${LL}:${LD_LIBRARY_PATH}"
export LD_LIBRARY_PATH PATH QUARTUS_ROOTDIR