summaryrefslogtreecommitdiffstats
path: root/software/wrap.sh
diff options
context:
space:
mode:
authorroot <root@lab.panaceas.james.local>2013-10-12 12:13:58 +0100
committerroot <root@lab.panaceas.james.local>2013-10-12 12:13:58 +0100
commit3b3237c2ba1bb29f7d43fda9fdbc08bd0c32e5f9 (patch)
tree1ca30cdbd30e7de4407d3a35838318b146cad3b9 /software/wrap.sh
parent8b91c2f0452ce241a2f69481e156d5fd313955dc (diff)
downloadpong-3b3237c2ba1bb29f7d43fda9fdbc08bd0c32e5f9.tar.gz
pong-3b3237c2ba1bb29f7d43fda9fdbc08bd0c32e5f9.tar.bz2
pong-3b3237c2ba1bb29f7d43fda9fdbc08bd0c32e5f9.zip
first_full_makefile
Diffstat (limited to 'software/wrap.sh')
-rwxr-xr-xsoftware/wrap.sh11
1 files changed, 0 insertions, 11 deletions
diff --git a/software/wrap.sh b/software/wrap.sh
deleted file mode 100755
index 4caed0f..0000000
--- a/software/wrap.sh
+++ /dev/null
@@ -1,11 +0,0 @@
-#!/bin/sh
-
-AD=/software/apps/altera/quartus_ii_13.0sp1
-LL=linux
-QUARTUS_ROOTDIR="${AD}/quartus"
-PATH="${AD}/quartus/bin:${AD}/nios2eds/sdk2/bin:${AD}/nios2eds/bin:${AD}/nios2eds/bin/gnu/H-i686-pc-linux-gnu/bin:${PATH}"
-LD_LIBRARY_PATH="${AD}/quartus/${LL}:${LD_LIBRARY_PATH}"
-
-export LD_LIBRARY_PATH PATH QUARTUS_ROOTDIR
-
-"$@"