summaryrefslogtreecommitdiffstats
path: root/software/pong3_bsp
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-10 11:39:07 +0100
committerJames <james.mckenzie@citrix.com>2013-10-10 11:39:07 +0100
commitd32c5f6d4713b445ee9f17df51abb25211ee52d6 (patch)
tree337cb19453292d25e89e1f757fb9ece3e43f44e4 /software/pong3_bsp
parent78761fcee808059b280c64cbdf8a2fc4e7de5518 (diff)
downloadpong-d32c5f6d4713b445ee9f17df51abb25211ee52d6.tar.gz
pong-d32c5f6d4713b445ee9f17df51abb25211ee52d6.tar.bz2
pong-d32c5f6d4713b445ee9f17df51abb25211ee52d6.zip
fish
Diffstat (limited to 'software/pong3_bsp')
-rw-r--r--software/pong3_bsp/Makefile2
-rwxr-xr-xsoftware/pong3_bsp/create-this-bsp4
-rw-r--r--software/pong3_bsp/settings.bsp6
3 files changed, 6 insertions, 6 deletions
diff --git a/software/pong3_bsp/Makefile b/software/pong3_bsp/Makefile
index dd9ec2d..55adf71 100644
--- a/software/pong3_bsp/Makefile
+++ b/software/pong3_bsp/Makefile
@@ -103,7 +103,7 @@ ACDS_VERSION := 13.0sp1
# BUILD_NUMBER: 232
SETTINGS_FILE := settings.bsp
-SOPC_FILE := /root/projects/pong3/hardware/my_sys.sopcinfo
+SOPC_FILE := /root/projects/altera/pong3/hardware/my_sys.sopcinfo
#-------------------------------------------------------------------------------
# TOOL & COMMAND DEFINITIONS
diff --git a/software/pong3_bsp/create-this-bsp b/software/pong3_bsp/create-this-bsp
index 637cc72..6359a3a 100755
--- a/software/pong3_bsp/create-this-bsp
+++ b/software/pong3_bsp/create-this-bsp
@@ -4,8 +4,8 @@
BSP_TYPE=hal
BSP_DIR=.
-SOPC_DIR=/root/projects/pong3/hardware/
-SOPC_FILE=/root/projects/pong3/hardware/my_sys.sopcinfo
+SOPC_DIR=/root/projects/altera/pong3/hardware/
+SOPC_FILE=/root/projects/altera/pong3/hardware/my_sys.sopcinfo
NIOS2_BSP_ARGS=""
CPU_NAME=
diff --git a/software/pong3_bsp/settings.bsp b/software/pong3_bsp/settings.bsp
index 14b4da6..770099f 100644
--- a/software/pong3_bsp/settings.bsp
+++ b/software/pong3_bsp/settings.bsp
@@ -4,9 +4,9 @@
<BspVersion>default</BspVersion>
<BspGeneratedTimeStamp>Oct 10, 2013 11:01:44 AM</BspGeneratedTimeStamp>
<BspGeneratedUnixTimeStamp>1381399304153</BspGeneratedUnixTimeStamp>
- <BspGeneratedLocation>/root/projects/pong3/software/pong3_bsp</BspGeneratedLocation>
+ <BspGeneratedLocation>/root/projects/altera/pong3/software/pong3_bsp</BspGeneratedLocation>
<BspSettingsFile>settings.bsp</BspSettingsFile>
- <SopcDesignFile>/root/projects/pong3/hardware/my_sys.sopcinfo</SopcDesignFile>
+ <SopcDesignFile>/root/projects/altera/pong3/hardware/my_sys.sopcinfo</SopcDesignFile>
<JdiFile>default</JdiFile>
<Cpu>nios2_qsys_0</Cpu>
<SchemaVersion>1.9</SchemaVersion>
@@ -934,4 +934,4 @@
<sectionName>.stack</sectionName>
<regionName>sdram_0</regionName>
</LinkerSection>
-</sch:Settings> \ No newline at end of file
+</sch:Settings>