summaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
authorroot <root@lab.panaceas.james.local>2013-10-12 12:13:58 +0100
committerroot <root@lab.panaceas.james.local>2013-10-12 12:13:58 +0100
commit3b3237c2ba1bb29f7d43fda9fdbc08bd0c32e5f9 (patch)
tree1ca30cdbd30e7de4407d3a35838318b146cad3b9 /Makefile
parent8b91c2f0452ce241a2f69481e156d5fd313955dc (diff)
downloadpong-3b3237c2ba1bb29f7d43fda9fdbc08bd0c32e5f9.tar.gz
pong-3b3237c2ba1bb29f7d43fda9fdbc08bd0c32e5f9.tar.bz2
pong-3b3237c2ba1bb29f7d43fda9fdbc08bd0c32e5f9.zip
first_full_makefile
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile93
1 files changed, 34 insertions, 59 deletions
diff --git a/Makefile b/Makefile
index 9214edf..88af64c 100644
--- a/Makefile
+++ b/Makefile
@@ -11,6 +11,10 @@ BSP_TYPE=hal
NIOS2_BSP_ARGS=""
CPU_NAME=
+ELF=src/${PROJ}.elf
+SOF=${PROJ}.sof
+
+default: load_elf.stamp
sta.stamp:asm.stamp
tools/wrap quartus_sta pong3 -c pong3
@@ -33,8 +37,12 @@ ${SOPC_FILE}:ans.stamp
source.stamp:${SRC}
touch source.stamp
+elf.stamp: src/Makefile
+ tools/wrap make -C src
+ touch $@
+
src/Makefile: bsp.stamp
- nios2-app-generate-makefile --bsp-dir bsp --src-rdir src --app-dir src
+ tools/wrap nios2-app-generate-makefile --bsp-dir bsp --src-rdir src --app-dir src --elf-name ${PROJ}.elf
bsp.stamp:${SOPC_FILE}
/bin/rm -rf ${BSP_DIR}
@@ -43,65 +51,32 @@ bsp.stamp:${SOPC_FILE}
tools/wrap make -C ${BSP_DIR}
touch $@
+load_elf.stamp:${ELF} load_sof.stamp
+ tools/wrap nios2-download ${ELF} -g
+ touch $@
+
+load_sof.stamp: ${SOF}
+ tools/wrap quartus_pgm -m JTAG -o "p;${SOF}"
+ touch $@
+
+sof.flash: ${SOF}
+ tools/wrap sof2flash --input=$< --output=$@ --epcs --verbose
+
+elf.flash: ${ELF} sof.flash
+ tools/wrap elf2flash --input=${ELF} --output=$@ --epcs --after=sof.flash --verbose
+
+${BSP_DIR}/system.h:bsp.stamp
+
+flash: load_sof.stamp sof.flash elf.flash ${BSP_DIR}/system.h
+ BASE=` grep EPCS_FLASH_CONTROLLER_0_BASE ${BSP_DIR}/system.h | awk '{print $$3}' ` && \
+ tools/wrap nios2-flash-programmer sof.flash --base=$${BASE} --epcs --accept-bad-sysid --device=1 --instance=0 --program --verbose && \
+ tools/wrap nios2-flash-programmer elf.flash --base=$${BASE} --epcs --accept-bad-sysid --device=1 --instance=0 --program --verbose -g
+
clean:
- /bin/rm -rf ${BSP_DIR}
- /bin/rm -f ${SOPC_FILE} src/Makefile
+ /bin/rm -rf ${BSP_DIR} db incremental_db src/obj
+ /bin/rm -f ${SOPC_FILE} src/Makefile elf.flash sof.flash *.stamp ${SOF} ${ELF} *.rpt *.html *.summary *.pin *.jdi *.qws
+ /bin/rm -f src/${PROJ}.objdump src/${PROJ}.map
+
-#
-#ELF=pong3.elf
-#
-#SOPCINFO=../my_sys.sopcinfo
-#SOF=../pong3.sof
-#
-#run: load_elf.stamp
-# ./wrap.sh nios2-terminal
-#
-#flash: load_sof.stamp sof.flash elf.flash ${BSPDIR}/system.h
-# BASE=` grep EPCS_FLASH_CONTROLLER_0_BASE ${BSPDIR}/system.h | awk '{print $$3}' ` && \
-# ./wrap.sh nios2-flash-programmer sof.flash --base=$${BASE} --epcs --accept-bad-sysid --device=1 --instance=0 --program --verbose && \
-# ./wrap.sh nios2-flash-programmer elf.flash --base=$${BASE} --epcs --accept-bad-sysid --device=1 --instance=0 --program --verbose -g
-#
-#sof.flash: ${SOF}
-# ./wrap.sh sof2flash --input=$< --output=$@ --epcs --verbose
-#
-#elf.flash: ${ELFDIR}/${ELF} sof.flash
-# ./wrap.sh elf2flash --input=${ELFDIR}/${ELF} --output=$@ --epcs --after=sof.flash --verbose
-#
-#
-#
-#
-#
-#
-#
-#load_elf.stamp:${ELFDIR}/${ELF} load_sof.stamp
-# ./wrap.sh nios2-download ${ELFDIR}/${ELF} -g
-#
-#load_sof.stamp: ${SOF}
-# ./wrap.sh quartus_pgm -m JTAG -o 'p;../pong3.sof'
-#
-#${ELFDIR}/${ELF}: ${BSPDIR}/libhal_bsp.a $(wildcard ${ELFDIR}/*.[chHC])
-# ./wrap.sh ${MAKE} -C ${ELFDIR}
-#
-#
-#${BSPDIR}/libhal_bsp.a:${BSPDIR}/system.h
-# ./wrap.sh ${MAKE} -C ${BSPDIR}
-#
-#${BSPDIR}/system.h:${SOPCINFO}
-# rm -f $@
-# (cd ${BSPDIR} && ${PWD}/wrap.sh ./create-this-bsp )
-#
-#
-#clean:
-# ./wrap.sh ${MAKE} -C ${BSPDIR} clean
-# ./wrap.sh ${MAKE} -C ${ELFDIR} clean
-# /bin/rm -f sof.flash elf.flash
-# /bin/rm -rf ${BSPDIR}/drivers ${BSPDIR}/HAL ${BSPDIR}/linker.h ${BSPDIR}/linker.x ${BSPDIR}/system.h ${BSPDIR}/summary.html ${BSPDIR}/public.mk ${BSPDIR}/mem_init.mk ${BSPDIR}/memory.gdb ${BSPDIR}/alt_sys_init.c
-#
-#
-#
-#
-#
-#
-#