summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-10 11:51:18 +0100
committerJames <james.mckenzie@citrix.com>2013-10-10 11:51:18 +0100
commitbb923959acd3b3fd516460f0b1357b30befa7874 (patch)
tree20963ea6fa83a58232d5bd91b64318051cf33430
parent4b7ba2f7366194608aac59b47d0f3c7cd9f21fb1 (diff)
downloadpong-bb923959acd3b3fd516460f0b1357b30befa7874.tar.gz
pong-bb923959acd3b3fd516460f0b1357b30befa7874.tar.bz2
pong-bb923959acd3b3fd516460f0b1357b30befa7874.zip
fish
-rw-r--r--pong3.pin30
-rw-r--r--software/pong3_bsp/.cproject48
-rw-r--r--software/pong3_bsp/settings.bsp6
3 files changed, 42 insertions, 42 deletions
diff --git a/pong3.pin b/pong3.pin
index 06ed0ce..0c00d27 100644
--- a/pong3.pin
+++ b/pong3.pin
@@ -93,12 +93,12 @@ nCONFIG : 26 : : :
rst_n : 27 : input : 3.3-V LVTTL : : 1 : Y
GND+ : 28 : : : : 1 :
VCCIO1 : 29 : power : : 3.3V : 1 :
-seven_seg[2] : 30 : output : 3.3-V LVTTL : : 1 : N
+GND* : 30 : : : : 1 :
GND* : 31 : : : : 1 :
VCCINT : 32 : power : : 1.2V : :
GND* : 33 : : : : 1 :
GND* : 34 : : : : 1 :
-GND* : 35 : : : : 1 :
+seven_seg[2] : 35 : output : 3.3-V LVTTL : : 1 : N
GND : 36 : gnd : : : :
GND* : 37 : : : : 1 :
GND : 38 : gnd : : : :
@@ -106,8 +106,8 @@ GND* : 39 : : :
GND* : 40 : : : : 1 :
GND* : 41 : : : : 1 :
VCCIO1 : 42 : power : : 3.3V : 1 :
-seven_seg[0] : 43 : output : 3.3-V LVTTL : : 1 : N
-GND* : 44 : : : : 1 :
+GND* : 43 : : : : 1 :
+seven_seg[3] : 44 : output : 3.3-V LVTTL : : 1 : N
sdram_cs_n : 45 : output : 3.3-V LVTTL : : 1 : Y
sdram_cke : 46 : output : 3.3-V LVTTL : : 1 : Y
sdram_clk : 47 : output : 3.3-V LVTTL : : 1 : Y
@@ -169,11 +169,11 @@ sdram_dq[9] : 102 : bidir : 3.3-V LVTTL :
sdram_dq[8] : 103 : bidir : 3.3-V LVTTL : : 4 : Y
sdram_dqm[1] : 104 : output : 3.3-V LVTTL : : 4 : Y
GND* : 105 : : : : 3 :
-GND* : 106 : : : : 3 :
-GND* : 107 : : : : 3 :
+seven_seg[6] : 106 : output : 3.3-V LVTTL : : 3 : N
+seven_seg[0] : 107 : output : 3.3-V LVTTL : : 3 : N
vga_red[0] : 108 : output : 3.3-V LVTTL : : 3 : Y
VCCIO3 : 109 : power : : 3.3V : 3 :
-GND* : 110 : : : : 3 :
+seven_seg[5] : 110 : output : 3.3-V LVTTL : : 3 : N
GND : 111 : gnd : : : :
vga_red[2] : 112 : output : 3.3-V LVTTL : : 3 : Y
vga_red[1] : 113 : output : 3.3-V LVTTL : : 3 : Y
@@ -243,32 +243,32 @@ GND* : 176 : : :
GND : 177 : gnd : : : :
VCCINT : 178 : power : : 1.2V : :
GND* : 179 : : : : 2 :
-seven_seg[7] : 180 : output : 3.3-V LVTTL : : 2 : N
+GND* : 180 : : : : 2 :
GND* : 181 : : : : 2 :
GND* : 182 : : : : 2 :
VCCIO2 : 183 : power : : 3.3V : 2 :
GND : 184 : gnd : : : :
-seven_seg[3] : 185 : output : 3.3-V LVTTL : : 2 : N
+GND* : 185 : : : : 2 :
GND : 186 : gnd : : : :
-seven_seg[5] : 187 : output : 3.3-V LVTTL : : 2 : N
+GND* : 187 : : : : 2 :
GND* : 188 : : : : 2 :
GND* : 189 : : : : 2 :
VCCINT : 190 : power : : 1.2V : :
GND* : 191 : : : : 2 :
GND* : 192 : : : : 2 :
-seven_seg[1] : 193 : output : 3.3-V LVTTL : : 2 : N
+GND* : 193 : : : : 2 :
VCCIO2 : 194 : power : : 3.3V : 2 :
-seven_seg[4] : 195 : output : 3.3-V LVTTL : : 2 : N
+seven_seg[1] : 195 : output : 3.3-V LVTTL : : 2 : N
GND : 196 : gnd : : : :
GND* : 197 : : : : 2 :
GND* : 198 : : : : 2 :
-seven_seg[6] : 199 : output : 3.3-V LVTTL : : 2 : N
+GND* : 199 : : : : 2 :
GND* : 200 : : : : 2 :
-GND* : 201 : : : : 2 :
+seven_seg[4] : 201 : output : 3.3-V LVTTL : : 2 : N
VCCIO2 : 202 : power : : 3.3V : 2 :
GND* : 203 : : : : 2 :
GND : 204 : gnd : : : :
-GND* : 205 : : : : 2 :
+seven_seg[7] : 205 : output : 3.3-V LVTTL : : 2 : N
GND* : 206 : : : : 2 :
GND* : 207 : : : : 2 :
GND* : 208 : : : : 2 :
diff --git a/software/pong3_bsp/.cproject b/software/pong3_bsp/.cproject
index e441cd1..bca629e 100644
--- a/software/pong3_bsp/.cproject
+++ b/software/pong3_bsp/.cproject
@@ -3,19 +3,19 @@
<cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
<storageModule moduleId="org.eclipse.cdt.core.settings">
- <buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250">
- <storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250" moduleId="org.eclipse.cdt.core.settings"/>
+ <buildSystem id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873">
+ <storageModule id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873" moduleId="org.eclipse.cdt.core.settings"/>
</buildSystem>
- <cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250">
+ <cconfiguration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873">
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
- <configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
- <folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250." name="/" resourcePath="">
- <toolChain id="altera.nios2.linux.gcc4.969570882" name="Linux Nios II GCC4" superClass="altera.nios2.linux.gcc4">
- <targetPlatform id="altera.nios2.linux.gcc4.1644162213" name="Nios II" superClass="altera.nios2.linux.gcc4"/>
- <builder buildPath="${workspace_loc://pong3_bsp}" id="cdt.managedbuild.target.gnu.builder.base.1453330656" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="cdt.managedbuild.target.gnu.builder.base"/>
- <tool id="cdt.managedbuild.tool.gnu.archiver.base.808339627" name="GCC Archiver" superClass="cdt.managedbuild.tool.gnu.archiver.base"/>
- <tool id="cdt.managedbuild.tool.gnu.cpp.compiler.base.138112948" name="GCC C++ Compiler" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.base">
- <option id="gnu.cpp.compiler.option.preprocessor.undef.1763840519" superClass="gnu.cpp.compiler.option.preprocessor.undef" valueType="undefDefinedSymbols">
+ <configuration buildProperties="" description="" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873" name="Nios II" parent="org.eclipse.cdt.build.core.prefbase.cfg">
+ <folderInfo id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873." name="/" resourcePath="">
+ <toolChain id="altera.nios2.linux.gcc4.9855396" name="Linux Nios II GCC4" superClass="altera.nios2.linux.gcc4">
+ <targetPlatform id="altera.nios2.linux.gcc4.2140129943" name="Nios II" superClass="altera.nios2.linux.gcc4"/>
+ <builder buildPath="${workspace_loc://pong3_bsp}" id="cdt.managedbuild.target.gnu.builder.base.1474186794" keepEnvironmentInBuildfile="false" managedBuildOn="false" name="Gnu Make Builder" superClass="cdt.managedbuild.target.gnu.builder.base"/>
+ <tool id="cdt.managedbuild.tool.gnu.archiver.base.817937252" name="GCC Archiver" superClass="cdt.managedbuild.tool.gnu.archiver.base"/>
+ <tool id="cdt.managedbuild.tool.gnu.cpp.compiler.base.1813359900" name="GCC C++ Compiler" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.base">
+ <option id="gnu.cpp.compiler.option.preprocessor.undef.681487502" superClass="gnu.cpp.compiler.option.preprocessor.undef" valueType="undefDefinedSymbols">
<listOptionValue builtIn="false" value="__FLT_EVAL_METHOD__"/>
<listOptionValue builtIn="false" value="__FLT_EPSILON__"/>
<listOptionValue builtIn="false" value="__DEC64_MANT_DIG__"/>
@@ -151,10 +151,10 @@
<listOptionValue builtIn="false" value="__CHAR16_TYPE__"/>
<listOptionValue builtIn="false" value="__cplusplus"/>
</option>
- <inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.256968668" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
+ <inputType id="cdt.managedbuild.tool.gnu.cpp.compiler.input.1182153276" superClass="cdt.managedbuild.tool.gnu.cpp.compiler.input"/>
</tool>
- <tool id="cdt.managedbuild.tool.gnu.c.compiler.base.1593645278" name="GCC C Compiler" superClass="cdt.managedbuild.tool.gnu.c.compiler.base">
- <option id="gnu.c.compiler.option.preprocessor.undef.symbol.1372297885" superClass="gnu.c.compiler.option.preprocessor.undef.symbol" valueType="undefDefinedSymbols">
+ <tool id="cdt.managedbuild.tool.gnu.c.compiler.base.437673634" name="GCC C Compiler" superClass="cdt.managedbuild.tool.gnu.c.compiler.base">
+ <option id="gnu.c.compiler.option.preprocessor.undef.symbol.1800356526" superClass="gnu.c.compiler.option.preprocessor.undef.symbol" valueType="undefDefinedSymbols">
<listOptionValue builtIn="false" value="__FLT_EVAL_METHOD__"/>
<listOptionValue builtIn="false" value="__FLT_EPSILON__"/>
<listOptionValue builtIn="false" value="__DEC64_MANT_DIG__"/>
@@ -282,23 +282,23 @@
<listOptionValue builtIn="false" value="unix"/>
<listOptionValue builtIn="false" value="__CHAR16_TYPE__"/>
</option>
- <inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.139874590" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
+ <inputType id="cdt.managedbuild.tool.gnu.c.compiler.input.2106556578" superClass="cdt.managedbuild.tool.gnu.c.compiler.input"/>
</tool>
- <tool id="cdt.managedbuild.tool.gnu.c.linker.base.36652944" name="GCC C Linker" superClass="cdt.managedbuild.tool.gnu.c.linker.base"/>
- <tool id="cdt.managedbuild.tool.gnu.cpp.linker.base.1390807603" name="GCC C++ Linker" superClass="cdt.managedbuild.tool.gnu.cpp.linker.base">
- <inputType id="cdt.managedbuild.tool.gnu.cpp.linker.input.1978745604" superClass="cdt.managedbuild.tool.gnu.cpp.linker.input">
+ <tool id="cdt.managedbuild.tool.gnu.c.linker.base.582362953" name="GCC C Linker" superClass="cdt.managedbuild.tool.gnu.c.linker.base"/>
+ <tool id="cdt.managedbuild.tool.gnu.cpp.linker.base.682475739" name="GCC C++ Linker" superClass="cdt.managedbuild.tool.gnu.cpp.linker.base">
+ <inputType id="cdt.managedbuild.tool.gnu.cpp.linker.input.208725282" superClass="cdt.managedbuild.tool.gnu.cpp.linker.input">
<additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>
<additionalInput kind="additionalinput" paths="$(LIBS)"/>
</inputType>
</tool>
- <tool id="cdt.managedbuild.tool.gnu.assembler.base.177640405" name="GCC Assembler" superClass="cdt.managedbuild.tool.gnu.assembler.base">
- <inputType id="cdt.managedbuild.tool.gnu.assembler.input.450088757" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
+ <tool id="cdt.managedbuild.tool.gnu.assembler.base.746360663" name="GCC Assembler" superClass="cdt.managedbuild.tool.gnu.assembler.base">
+ <inputType id="cdt.managedbuild.tool.gnu.assembler.input.1493526707" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>
</tool>
</toolChain>
</folderInfo>
</configuration>
</storageModule>
- <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
+ <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873" moduleId="org.eclipse.cdt.core.settings" name="Nios II">
<externalSettings/>
<extensions>
<extension id="org.eclipse.cdt.core.GNU_ELF" point="org.eclipse.cdt.core.BinaryParser"/>
@@ -313,12 +313,12 @@
</cconfiguration>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
- <project id="pong3_bsp.null.1218264101" name="pong3_bsp"/>
+ <project id="pong3_bsp.null.637440566" name="pong3_bsp"/>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.make.core.buildtargets"/>
<storageModule moduleId="scannerConfiguration">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
- <scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250.;cdt.managedbuild.tool.gnu.c.compiler.base.1593645278;cdt.managedbuild.tool.gnu.c.compiler.input.139874590">
+ <scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873.;cdt.managedbuild.tool.gnu.c.compiler.base.437673634;cdt.managedbuild.tool.gnu.c.compiler.input.2106556578">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId="org.eclipse.cdt.managedbuilder.core.GCCManagedMakePerProjectProfileC"/>
<profile id="org.eclipse.cdt.managedbuilder.core.GCCManagedMakePerProjectProfileC">
<buildOutputProvider>
@@ -331,7 +331,7 @@
</scannerInfoProvider>
</profile>
</scannerConfigBuildInfo>
- <scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1952484250.;cdt.managedbuild.tool.gnu.cpp.compiler.base.138112948;cdt.managedbuild.tool.gnu.cpp.compiler.input.256968668">
+ <scannerConfigBuildInfo instanceId="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873;preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1018767873.;cdt.managedbuild.tool.gnu.cpp.compiler.base.1813359900;cdt.managedbuild.tool.gnu.cpp.compiler.input.1182153276">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId="org.eclipse.cdt.managedbuilder.core.GCCManagedMakePerProjectProfileCPP"/>
<profile id="org.eclipse.cdt.managedbuilder.core.GCCManagedMakePerProjectProfileCPP">
<buildOutputProvider>
diff --git a/software/pong3_bsp/settings.bsp b/software/pong3_bsp/settings.bsp
index 9f884af..e567b80 100644
--- a/software/pong3_bsp/settings.bsp
+++ b/software/pong3_bsp/settings.bsp
@@ -2,9 +2,9 @@
<sch:Settings xmlns:sch="http://www.altera.com/embeddedsw/bsp/schema">
<BspType>hal</BspType>
<BspVersion>default</BspVersion>
- <BspGeneratedTimeStamp>Oct 10, 2013 11:34:52 AM</BspGeneratedTimeStamp>
- <BspGeneratedUnixTimeStamp>1381401292694</BspGeneratedUnixTimeStamp>
- <BspGeneratedLocation>/home/root/projects/altera/pong3/hardware/software/pong3_bsp</BspGeneratedLocation>
+ <BspGeneratedTimeStamp>Oct 10, 2013 11:49:08 AM</BspGeneratedTimeStamp>
+ <BspGeneratedUnixTimeStamp>1381402148374</BspGeneratedUnixTimeStamp>
+ <BspGeneratedLocation>/home/root/projects/altera/pong3/software/pong3_bsp</BspGeneratedLocation>
<BspSettingsFile>./settings.bsp</BspSettingsFile>
<SopcDesignFile>../../my_sys.sopcinfo</SopcDesignFile>
<JdiFile>default</JdiFile>