summaryrefslogtreecommitdiffstats
path: root/quartus/keyboard.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'quartus/keyboard.vhd')
-rw-r--r--quartus/keyboard.vhd14
1 files changed, 6 insertions, 8 deletions
diff --git a/quartus/keyboard.vhd b/quartus/keyboard.vhd
index 4f736b6..c0b8603 100644
--- a/quartus/keyboard.vhd
+++ b/quartus/keyboard.vhd
@@ -72,7 +72,6 @@ port (
);
end entity;
--- altera message_off 10036
architecture rtl of keyboard is
-- PS/2 interface
@@ -150,13 +149,6 @@ begin
KEYPRESS <= '0';
end if;
end process;
-
- keys(10) <= (others => '0');
- keys(11) <= (others => '0');
- keys(12) <= (others => '0');
- keys(13) <= (others => '0');
- keys(14) <= (others => '0');
- keys(15) <= (others => '0');
-- Decode PS/2 data
process(CLOCK,nRESET)
@@ -178,6 +170,12 @@ begin
keys(8) <= (others => '0');
keys(9) <= (others => '0');
-- These non-existent rows are used in the BBC master
+ keys(10) <= (others => '0');
+ keys(11) <= (others => '0');
+ keys(12) <= (others => '0');
+ keys(13) <= (others => '0');
+ keys(14) <= (others => '0');
+ keys(15) <= (others => '0');
elsif rising_edge(CLOCK) then
-- Copy DIP switches through to row 0
keys(2)(0) <= DIP_SWITCH(7);