summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--quartus/bbc_micro_de1.vhd2
1 files changed, 2 insertions, 0 deletions
diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd
index 028ec7e..4beca04 100644
--- a/quartus/bbc_micro_de1.vhd
+++ b/quartus/bbc_micro_de1.vhd
@@ -744,6 +744,8 @@ signal romsel : std_logic_vector(3 downto 0);
signal mhz1_enable : std_logic; -- Set for access to any 1 MHz peripheral
+signal clock_32 : std_logic;
+
begin
-------------------------
-- COMPONENT INSTANCES