summaryrefslogtreecommitdiffstats
path: root/quartus/vidproc.vhd
diff options
context:
space:
mode:
authorroot <root@lab.panaceas.james.local>2013-10-18 11:18:51 +0100
committerroot <root@lab.panaceas.james.local>2013-10-18 11:18:51 +0100
commit91a541897b9e66b107a1017d5cadcc89ce1e7f84 (patch)
treead5e26840b3674c33b67d15b419ade7b3fe6b8f0 /quartus/vidproc.vhd
parent5fe74b3778ec4f58f628a6f140af1e9fa9a08eac (diff)
downloadbbc_de1-91a541897b9e66b107a1017d5cadcc89ce1e7f84.tar.gz
bbc_de1-91a541897b9e66b107a1017d5cadcc89ce1e7f84.tar.bz2
bbc_de1-91a541897b9e66b107a1017d5cadcc89ce1e7f84.zip
add process sensitivity
Diffstat (limited to 'quartus/vidproc.vhd')
-rw-r--r--quartus/vidproc.vhd8
1 files changed, 4 insertions, 4 deletions
diff --git a/quartus/vidproc.vhd b/quartus/vidproc.vhd
index 6d5f91f..25db750 100644
--- a/quartus/vidproc.vhd
+++ b/quartus/vidproc.vhd
@@ -165,7 +165,7 @@ begin
clken_fetch <= CLKEN and not (clken_counter(0) or clken_counter(1) or clken_counter(2) or
(clken_counter(3) and not r0_crtc_2mhz));
- process(CLOCK,nRESET)
+ process(CLOCK,nRESET,CLKEN)
begin
if nRESET = '0' then
clken_counter <= (others => '0');
@@ -176,7 +176,7 @@ begin
end process;
-- Fetch control
- process(CLOCK,nRESET)
+ process(CLOCK,nRESET,clken_pixel)
begin
if nRESET = '0' then
shiftreg <= (others => '0');
@@ -197,7 +197,7 @@ begin
((r0_cursor0 and not (cursor_counter(0) or cursor_counter(1))) or
(r0_cursor1 and cursor_counter(0) and not cursor_counter(1)) or
(r0_cursor2 and cursor_counter(1)));
- process(CLOCK,nRESET)
+ process(CLOCK,nRESET,clken_fetch)
begin
if nRESET = '0' then
cursor_active <= '0';
@@ -231,7 +231,7 @@ begin
-- the pixel rate we ensure that the resulting delay is minimal and
-- constant (running this at the pixel rate would cause
-- the display to move slightly depending on which mode was selected).
- process(CLOCK,nRESET)
+ process(CLOCK,nRESET,CLKEN)
variable palette_a : std_logic_vector(3 downto 0);
variable dot_val : std_logic_vector(3 downto 0);
variable red_val : std_logic;