summaryrefslogtreecommitdiffstats
path: root/quartus/keyboard.vhd
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-18 12:25:28 +0100
committerJames <james.mckenzie@citrix.com>2013-10-18 12:25:28 +0100
commit70d56cad0d02525d2ab5f484d048fca391cdce8c (patch)
treec4a5b09de6970375c1ad3a056e5187e576372c8d /quartus/keyboard.vhd
parent6058ba0cccfc5449170fbb6896b2ea555ddc6604 (diff)
downloadbbc_de1-70d56cad0d02525d2ab5f484d048fca391cdce8c.tar.gz
bbc_de1-70d56cad0d02525d2ab5f484d048fca391cdce8c.tar.bz2
bbc_de1-70d56cad0d02525d2ab5f484d048fca391cdce8c.zip
general tidying
Diffstat (limited to 'quartus/keyboard.vhd')
-rw-r--r--quartus/keyboard.vhd14
1 files changed, 8 insertions, 6 deletions
diff --git a/quartus/keyboard.vhd b/quartus/keyboard.vhd
index c0b8603..4f736b6 100644
--- a/quartus/keyboard.vhd
+++ b/quartus/keyboard.vhd
@@ -72,6 +72,7 @@ port (
);
end entity;
+-- altera message_off 10036
architecture rtl of keyboard is
-- PS/2 interface
@@ -149,6 +150,13 @@ begin
KEYPRESS <= '0';
end if;
end process;
+
+ keys(10) <= (others => '0');
+ keys(11) <= (others => '0');
+ keys(12) <= (others => '0');
+ keys(13) <= (others => '0');
+ keys(14) <= (others => '0');
+ keys(15) <= (others => '0');
-- Decode PS/2 data
process(CLOCK,nRESET)
@@ -170,12 +178,6 @@ begin
keys(8) <= (others => '0');
keys(9) <= (others => '0');
-- These non-existent rows are used in the BBC master
- keys(10) <= (others => '0');
- keys(11) <= (others => '0');
- keys(12) <= (others => '0');
- keys(13) <= (others => '0');
- keys(14) <= (others => '0');
- keys(15) <= (others => '0');
elsif rising_edge(CLOCK) then
-- Copy DIP switches through to row 0
keys(2)(0) <= DIP_SWITCH(7);