summaryrefslogtreecommitdiffstats
path: root/quartus/bbc_micro_de1.qsf
diff options
context:
space:
mode:
authorJames <james.mckenzie@citrix.com>2013-10-18 12:25:28 +0100
committerJames <james.mckenzie@citrix.com>2013-10-18 12:25:28 +0100
commit70d56cad0d02525d2ab5f484d048fca391cdce8c (patch)
treec4a5b09de6970375c1ad3a056e5187e576372c8d /quartus/bbc_micro_de1.qsf
parent6058ba0cccfc5449170fbb6896b2ea555ddc6604 (diff)
downloadbbc_de1-70d56cad0d02525d2ab5f484d048fca391cdce8c.tar.gz
bbc_de1-70d56cad0d02525d2ab5f484d048fca391cdce8c.tar.bz2
bbc_de1-70d56cad0d02525d2ab5f484d048fca391cdce8c.zip
general tidying
Diffstat (limited to 'quartus/bbc_micro_de1.qsf')
-rw-r--r--quartus/bbc_micro_de1.qsf4
1 files changed, 2 insertions, 2 deletions
diff --git a/quartus/bbc_micro_de1.qsf b/quartus/bbc_micro_de1.qsf
index 53ab492..1f4e73f 100644
--- a/quartus/bbc_micro_de1.qsf
+++ b/quartus/bbc_micro_de1.qsf
@@ -534,5 +534,5 @@ set_global_assignment -name VHDL_FILE m6522_tb.vhd
set_global_assignment -name VHDL_FILE keyboard.vhd
set_global_assignment -name VHDL_FILE debugger.vhd
set_global_assignment -name QIP_FILE saa5050_rom.qip
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
-set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top \ No newline at end of file
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file