From aa7e7df19f21baff7e6ccfbf106c3b23ec9d4f6d Mon Sep 17 00:00:00 2001 From: Jannis Harder Date: Fri, 28 Oct 2022 19:48:00 +0200 Subject: simlib: Simplify recently changed $mux model The use of a procedural continuous assignment introduced in #3526 was unintended and is completely unnecessary for the actual change of that PR. --- techlibs/common/simlib.v | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v index 2fd75372d..e64697efb 100644 --- a/techlibs/common/simlib.v +++ b/techlibs/common/simlib.v @@ -1279,11 +1279,9 @@ parameter WIDTH = 0; input [WIDTH-1:0] A, B; input S; -output reg [WIDTH-1:0] Y; +output [WIDTH-1:0] Y; -always @* begin - assign Y = S ? B : A; -end +assign Y = S ? B : A; endmodule -- cgit v1.2.3