index
:
iCE40/yosys
master
clone of https://github.com/YosysHQ/yosys
about
summary
refs
log
tree
commit
diff
stats
log msg
author
committer
range
path:
root
/
techlibs
Commit message (
Expand
)
Author
Age
Files
Lines
*
Addressed review comments
Miodrag Milanovic
2019-12-21
1
-2
/
+3
*
iopad no op for compatibility with old scripts
Miodrag Milanovic
2019-12-21
1
-0
/
+3
*
Make iopad option default for all xilinx flows
Miodrag Milanovic
2019-12-21
1
-14
/
+5
*
Add abc9_arrival times for RAM{32,64}M
Eddie Hung
2019-12-20
1
-24
/
+10
*
Add RAM{32,64}M to abc9_map.v
Eddie Hung
2019-12-20
1
-0
/
+78
*
Revert "Optimise write_xaiger"
Eddie Hung
2019-12-20
3
-15
/
+0
*
Merge pull request #1558 from YosysHQ/eddie/xaiger_cleanup
Eddie Hung
2019-12-19
3
-0
/
+15
|
\
|
*
techmap/aigmap of whiteboxes to occur before abc9 instead of in write_xaiger
Eddie Hung
2019-12-06
3
-0
/
+15
*
|
xilinx: Add simulation models for remaining CLB primitives.
Marcin Kościelnicki
2019-12-19
3
-156
/
+210
*
|
xilinx_dffopt: Keep order of LUT inputs.
Marcin Kościelnicki
2019-12-19
1
-16
/
+30
*
|
Merge pull request #1563 from YosysHQ/dave/async-prld
David Shah
2019-12-18
2
-4
/
+28
|
\
\
|
*
|
ecp5: Add support for mapping PRLD FFs
David Shah
2019-12-07
2
-4
/
+28
|
|
/
*
|
xilinx: Add xilinx_dffopt pass (#1557)
Marcin Kościelnicki
2019-12-18
6
-22
/
+389
*
|
xilinx: Improve flip-flop handling.
Marcin Kościelnicki
2019-12-18
4
-38
/
+228
*
|
Merge pull request #1574 from YosysHQ/eddie/xilinx_lutram
Eddie Hung
2019-12-16
3
-12
/
+301
|
\
\
|
*
\
Merge branch 'eddie/xilinx_lutram' of github.com:YosysHQ/yosys into eddie/xil...
Eddie Hung
2019-12-16
1
-2
/
+8
|
|
\
\
|
|
*
|
Populate DID/DOD even if unused
Eddie Hung
2019-12-16
1
-2
/
+8
|
*
|
|
Rename *RAM{32,64}M rules to RAM{32X2,64X1}Q
Eddie Hung
2019-12-16
2
-6
/
+6
|
|
/
/
|
*
|
Disable RAM16X1D match rule; carry-over from LUT4 arches
Eddie Hung
2019-12-13
1
-6
/
+9
|
*
|
RAM64M8 to also have [5:0] for address
Eddie Hung
2019-12-13
1
-8
/
+8
|
*
|
Add RAM32X6SDP and RAM64X3SDP modes
Eddie Hung
2019-12-12
2
-8
/
+120
|
*
|
Fix RAM64M model to have 6 bit address bus
Eddie Hung
2019-12-12
1
-4
/
+4
|
*
|
Add memory rules for RAM16X1D, RAM32M, RAM64M
Eddie Hung
2019-12-12
2
-0
/
+168
*
|
|
Add unconditional match blocks for force RAM
Eddie Hung
2019-12-16
1
-4
/
+36
*
|
|
Update xc7/xcu bram rules
Eddie Hung
2019-12-16
1
-8
/
+4
*
|
|
Removing fixed attribute value to !ramstyle rules
Diego H
2019-12-15
1
-4
/
+4
*
|
|
Merging attribute rules into a single match block; Adding tests
Diego H
2019-12-15
1
-18
/
+12
*
|
|
Refactoring memory attribute matching based on IEEE 1364.1 and Tool specific
Diego H
2019-12-13
1
-0
/
+19
*
|
|
Merge pull request #1533 from dh73/bram_xilinx
Eddie Hung
2019-12-13
1
-6
/
+9
|
\
\
\
|
|
/
/
|
/
|
|
|
*
|
Fixing citation in xc7_xcu_brams.txt file. Fixing RAMB36E1 test.
Diego H
2019-12-12
1
-5
/
+5
|
*
|
Updating RAMB36E1 thresholds. Adding test for both RAMB18E1/RAMB36E1
Diego H
2019-12-12
1
-2
/
+2
|
*
|
Merge https://github.com/YosysHQ/yosys into bram_xilinx
Diego H
2019-12-12
20
-775
/
+1170
|
|
\
\
|
*
|
|
Adjusting Vivado's BRAM min bits threshold for RAMB18E1
Diego H
2019-11-27
1
-2
/
+5
*
|
|
|
abc9_map.v: fix Xilinx LUTRAM
Eddie Hung
2019-12-12
1
-6
/
+6
|
|
/
/
|
/
|
|
*
|
|
Fix bitwidth mismatch; suppresses iverilog warning
Eddie Hung
2019-12-11
1
-4
/
+4
*
|
|
Merge pull request #1564 from ZirconiumX/intel_housekeeping
David Shah
2019-12-11
8
-6
/
+6
|
\
\
\
|
*
|
|
synth_intel: a10gx -> arria10gx
Dan Ravensloft
2019-12-10
5
-4
/
+4
|
*
|
|
synth_intel: cyclone10 -> cyclone10lp
Dan Ravensloft
2019-12-10
5
-4
/
+4
|
|
|
/
|
|
/
|
*
|
|
Merge pull request #1545 from YosysHQ/eddie/ice40_wrapcarry_attr
Eddie Hung
2019-12-09
4
-20
/
+22
|
\
\
\
|
|
/
/
|
/
|
|
|
*
|
ice40_opt to restore attributes/name when unwrapping
Eddie Hung
2019-12-09
1
-0
/
+15
|
*
|
Sensitive to direct inst of $__ICE40_CARRY_WRAPPER; recreate SB_LUT4
Eddie Hung
2019-12-09
1
-1
/
+1
|
*
|
ice40_wrapcarry to really preserve attributes via -unwrap option
Eddie Hung
2019-12-09
2
-19
/
+1
|
*
|
$__ICE40_CARRY_WRAPPER to use _TECHMAP_REPLACE_ for SB_CARRY to preserve
Eddie Hung
2019-12-03
1
-1
/
+1
|
*
|
ice40_opt to ignore (* keep *) -ed cells
Eddie Hung
2019-12-03
1
-0
/
+5
*
|
|
xilinx: Add tristate buffer mapping. (#1528)
Marcin Kościelnicki
2019-12-04
2
-9
/
+16
*
|
|
xilinx: Add models for LUTRAM cells. (#1537)
Marcin Kościelnicki
2019-12-04
3
-624
/
+831
|
/
/
*
|
Merge pull request #1524 from pepijndevos/gowindffinit
Clifford Wolf
2019-12-03
2
-112
/
+270
|
\
\
|
*
|
Use -match-init to not synth contradicting init values
Pepijn de Vos
2019-12-03
1
-1
/
+1
|
*
|
attempt to fix formatting
Pepijn de Vos
2019-11-25
1
-154
/
+154
|
*
|
gowin: add and test dff init values
Pepijn de Vos
2019-11-25
2
-41
/
+199
[next]