aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast
Commit message (Collapse)AuthorAgeFilesLines
...
| * Support for 'modports' for System Verilog interfacesRuben Undheim2018-10-123-5/+68
| |
| * Synthesis support for SystemVerilog interfacesRuben Undheim2018-10-124-14/+175
| | | | | | | | This time doing the changes mostly in AST before RTLIL generation
* | Merge pull request #638 from udif/pr_reg_wire_errorClifford Wolf2018-10-171-0/+12
|\ \ | |/ |/| Fix issue #630
| * Fixed issue #630 by fixing a minor typo in the previous commitUdi Finkelstein2018-09-251-2/+2
| | | | | | | | (as well as a non critical minor code optimization)
| * Merge branch 'master' into pr_reg_wire_errorUdi Finkelstein2018-09-184-237/+254
| |\
| * | Fixed remaining cases where we check fo wire reg/wire incorrect assignmentsUdi Finkelstein2018-09-181-0/+12
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | on Yosys-generated assignments. In this case, offending code was: module top(input in, output out); function func; input arg; func = arg; endfunction assign out = func(in); endmodule
* | | Fix for issue 594.Tom Verbeure2018-10-021-1/+2
| | |
* | | Add read_verilog $changed supportDan Gisselquist2018-10-011-1/+4
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Fix handling of $past 2nd argument in read_verilogClifford Wolf2018-09-301-1/+1
| |/ |/| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Added -no_dump_ptr flag for AST dump options in 'read_verilog'Udi Finkelstein2018-08-232-8/+11
| | | | | | | | | | | | This option disables the memory pointer display. This is useful when diff'ing different dumps because otherwise the node pointers makes every diff line different when the AST content is the same.
* | Merge pull request #591 from hzeller/virtual-overrideClifford Wolf2018-08-151-4/+4
|\ \ | | | | | | Consistent use of 'override' for virtual methods in derived classes.
| * | Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-4/+4
| | | | | | | | | | | | | | | | | | | | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* | | Merge pull request #590 from hzeller/remaining-file-errorClifford Wolf2018-08-151-15/+15
|\ \ \ | | | | | | | | Fix remaining log_file_error(); emit dependent file references in new…
| * | | Fix remaining log_file_error(); emit dependent file references in new line.Henner Zeller2018-07-201-15/+15
| |/ / | | | | | | | | | | | | | | | | | | There are some places that reference dependent file locations ("this function was called from ..."). These are now in a separate line for ease of jumping to it with the editor (behaves similarly to compilers that emit dependent messages).
* | | Merge pull request #513 from udif/pr_reg_wire_errorClifford Wolf2018-08-153-2/+50
|\ \ \ | |/ / |/| / | |/ Add error checking for reg/wire/logic misuse - PR now passes 'make test' (plus a new test)
| * Modified errors into warningsUdi Finkelstein2018-06-053-7/+40
| | | | | | | | No longer false warnings for memories and assertions
| * This PR should be the base for discussion, do not merge it yet!Udi Finkelstein2018-03-113-2/+17
| | | | | | | | | | | | | | | | | | | | | | | | It correctly detects reg/wire mix and incorrect use on blocking,nonblocking assignments within blocks and assign statements. What it DOES'T do: Detect registers connected to output ports of instances. Where it FAILS: memorty nonblocking assignments causes spurious (I assume??) errors on yosys-generated "_ADDR", "_DATA", "EN" signals. You can test it with tests/simple/reg_wire_error.v (look inside for the comments to enable/disable specific lines)
* | Convert more log_error() to log_file_error() where possible.Henner Zeller2018-07-203-132/+128
| | | | | | | | | | Mostly statements that span over multiple lines and haven't been caught with the previous conversion.
* | Use log_file_warning(), log_file_error() functions.Henner Zeller2018-07-202-77/+76
| | | | | | | | Wherever we can report a source-level location.
* | Provide source-location logging.Henner Zeller2018-07-191-3/+2
| | | | | | | | | | | | | | | | o Provide log_file_warning() and log_file_error() that prefix the log message with <filename>:<lineno>: to be easily picked up by IDEs that need to step through errors. o Simplify some duplicate logging code in kernel/log.cc o Use the new log functions in genrtlil.
* | Fix handling of signed memoriesClifford Wolf2018-06-281-0/+3
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Add (* gclk *) attribute supportClifford Wolf2018-06-011-0/+9
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Replace -ignore_redef with -[no]overwriteClifford Wolf2018-05-032-6/+14
|/ | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add $allconst and $allseq cell typesClifford Wolf2018-02-232-3/+3
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add support for "yosys -E"Clifford Wolf2018-01-071-0/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Bugfix in hierarchy handling of blackbox module portsClifford Wolf2018-01-052-2/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Fix error handling for nested always/initialClifford Wolf2017-12-022-0/+5
|
* Remove some dead codeClifford Wolf2017-10-101-15/+0
|
* Allow $past, $stable, $rose, $fell in $global_clock blocksClifford Wolf2017-10-101-1/+5
|
* Turned a few member functions into const, esp. dumpAst(), dumpVlog().Udi Finkelstein2017-09-302-14/+14
|
* Allow $size and $bits in verilog mode, actually check test caseClifford Wolf2017-09-291-1/+1
|
* $size() now works correctly for all cases!Udi Finkelstein2017-09-261-17/+17
| | | | It seems the issues was that AST_MULTIRANGE is converted into a multirange_dimensions[] array on the AST_MEMORY node directly.
* $size() seems to work now with or without the optional parameter.Udi Finkelstein2017-09-261-10/+40
| | | | Multidimensional arrays still don't work. I suspect the problem is that the array is flattened into a 1D array before $size() is evaluated.
* enable $bits() and $size() functions only when the SystemVerilog flag is ↵Udi Finkelstein2017-09-261-1/+1
| | | | enabled for read_verilog
* Added $bits() for memories as well.Udi Finkelstein2017-09-261-2/+26
|
* $size() now works with memories as well!Udi Finkelstein2017-09-261-1/+3
|
* Add $size() function. At the moment it works only on expressions, not on ↵Udi Finkelstein2017-09-261-0/+14
| | | | memories.
* Fix generation of vlogtb output in yosys-smtbmc for "rand reg" and "rand ↵Clifford Wolf2017-06-071-0/+7
| | | | const reg"
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-254-3/+12
|
* Preserve string parametersClifford Wolf2017-02-231-2/+8
|
* Fix incorrect "incompatible re-declaration of wire" error in tasks/functionsClifford Wolf2017-02-141-2/+9
|
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-044-2/+6
|
* Fix bug in AstNode::mem2reg_as_needed_pass2()Clifford Wolf2017-01-151-0/+2
|
* Fixed handling of local memories in functionsClifford Wolf2017-01-051-2/+2
|
* Added handling of local memories and error for local decls in unnamed blocksClifford Wolf2017-01-041-1/+10
|
* Added Verilog $rtoi and $itor supportClifford Wolf2017-01-031-24/+30
|
* Added support for hierarchical defparamsClifford Wolf2016-11-152-13/+39
|
* Remember global declarations and defines accross read_verilog callsClifford Wolf2016-11-151-4/+2
|
* Fixed anonymous genblock object namesClifford Wolf2016-11-041-1/+1
|
* Some fixes in handling of signed arraysClifford Wolf2016-11-012-0/+7
|