Commit message (Collapse) | Author | Age | Files | Lines | ||
---|---|---|---|---|---|---|
... | ||||||
* | | | Refactor | Eddie Hung | 2019-02-06 | 1 | -21/+5 | |
| | | | ||||||
* | | | write_verilog to cope with init attr on q when -noexpr | Eddie Hung | 2019-02-06 | 1 | -2/+32 | |
|/ / | ||||||
* / | Add missing blackslash-to-slash convertion to smtio.py (matching ↵ | Clifford Wolf | 2019-02-06 | 1 | -1/+1 | |
|/ | | | | | | Smt2Worker::get_id() behavior) Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Merge pull request #800 from whitequark/write_verilog_tribuf | Clifford Wolf | 2019-01-27 | 1 | -0/+12 | |
|\ | | | | | write_verilog: write $tribuf cell as ternary | |||||
| * | write_verilog: write $tribuf cell as ternary. | whitequark | 2019-01-27 | 1 | -0/+12 | |
| | | ||||||
* | | write_verilog: escape names that match SystemVerilog keywords. | whitequark | 2019-01-27 | 1 | -0/+27 | |
|/ | ||||||
* | Add "write_edif -gndvccy" | Clifford Wolf | 2019-01-17 | 1 | -5/+13 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Fix handling of $shiftx in Verilog back-end | Clifford Wolf | 2019-01-15 | 1 | -3/+6 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Fix typographical and grammatical errors and inconsistencies. | whitequark | 2019-01-02 | 4 | -7/+7 | |
| | | | | | | | | | | | | The initial list of hits was generated with the codespell command below, and each hit was evaluated and fixed manually while taking context into consideration. DIRS="kernel/ frontends/ backends/ passes/ techlibs/" DIRS="${DIRS} libs/ezsat/ libs/subcircuit" codespell $DIRS -S *.o -L upto,iff,thru,synopsys,uint More hits were found by looking through comments and strings manually. | |||||
* | Squelch a little more trailing whitespace | Larry Doolittle | 2018-12-29 | 1 | -3/+3 | |
| | ||||||
* | Minor style fixes | Clifford Wolf | 2018-12-18 | 2 | -1/+1 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add btor ops for $mul, $div, $mod and $concat | makaimann | 2018-12-17 | 2 | -2/+38 | |
| | ||||||
* | write_verilog: handle the $shift cell. | whitequark | 2018-12-16 | 1 | -0/+29 | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | The implementation corresponds to the following Verilog, which is lifted straight from simlib.v: module \\$shift (A, B, Y); parameter A_SIGNED = 0; parameter B_SIGNED = 0; parameter A_WIDTH = 0; parameter B_WIDTH = 0; parameter Y_WIDTH = 0; input [A_WIDTH-1:0] A; input [B_WIDTH-1:0] B; output [Y_WIDTH-1:0] Y; generate if (B_SIGNED) begin:BLOCK1 assign Y = $signed(B) < 0 ? A << -B : A >> B; end else begin:BLOCK2 assign Y = A >> B; end endgenerate endmodule | |||||
* | Merge pull request #736 from whitequark/select_assert_list | Clifford Wolf | 2018-12-16 | 1 | -1/+1 | |
|\ | | | | | select: print selection if a -assert-* flag causes an error | |||||
| * | write_verilog: add a missing newline. | whitequark | 2018-12-16 | 1 | -1/+1 | |
| | | ||||||
* | | Merge pull request #729 from whitequark/write_verilog_initial | Clifford Wolf | 2018-12-16 | 1 | -0/+2 | |
|\ \ | | | | | | | write_verilog: correctly map RTLIL `sync init` | |||||
| * | | write_verilog: correctly map RTLIL `sync init`. | whitequark | 2018-12-07 | 1 | -0/+2 | |
| |/ | ||||||
* | | Add yosys-smtbmc support for btor witness | Clifford Wolf | 2018-12-10 | 1 | -15/+100 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | | Add "yosys-smtbmc --btorwit" skeleton | Clifford Wolf | 2018-12-08 | 1 | -1/+19 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | | Fix btor init value handling | Clifford Wolf | 2018-12-08 | 1 | -9/+13 | |
|/ | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add "write_aiger -I -O -B" | Clifford Wolf | 2018-11-12 | 1 | -2/+36 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Merge pull request #693 from YosysHQ/rlimit | Clifford Wolf | 2018-11-07 | 1 | -8/+11 | |
|\ | | | | | improve rlimit handling in smtio.py | |||||
| * | Limit stack size to 16 MB on Darwin | Clifford Wolf | 2018-11-07 | 1 | -1/+4 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | Fix for improved smtio.py rlimit code | Clifford Wolf | 2018-11-06 | 1 | -1/+1 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
| * | Improve stack rlimit code in smtio.py | Clifford Wolf | 2018-11-06 | 1 | -8/+8 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | | Run solver in non-incremental mode whem smtio.py is configured for ↵ | Clifford Wolf | 2018-11-06 | 1 | -3/+12 | |
|/ | | | | | | non-incremental solving Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Use conservative stack size for SMT2 on MacOS | Arjen Roodselaar | 2018-11-04 | 1 | -1/+6 | |
| | ||||||
* | Add proper error message for when smtbmc "append" fails | Clifford Wolf | 2018-11-04 | 1 | -2/+10 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add support for signed $shift/$shiftx in smt2 back-end | Clifford Wolf | 2018-11-01 | 1 | -1/+3 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | adding offset info to memories | rafaeltp | 2018-10-18 | 1 | -1/+1 | |
| | ||||||
* | adding offset info to memories | rafaeltp | 2018-10-18 | 1 | -2/+3 | |
| | ||||||
* | Merge pull request #663 from aman-goel/master | Clifford Wolf | 2018-10-17 | 1 | -32/+51 | |
|\ | | | | | Update to .smv backend | |||||
| * | Minor update | Aman Goel | 2018-10-15 | 1 | -1/+1 | |
| | | ||||||
| * | Update to .smv backend | Aman Goel | 2018-10-01 | 1 | -33/+52 | |
| | | | | | | | | Splitting VAR and ASSIGN into IVAR, VAR, DEFINE and ASSIGN. This allows better handling by nuXmv for post-processing (since now only state variables are listed under VAR). | |||||
* | | Add "write_edif -attrprop" | Clifford Wolf | 2018-10-05 | 1 | -11/+28 | |
|/ | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | added prefix to FDirection constants, fixing windows build | Miodrag Milanovic | 2018-09-21 | 1 | -11/+11 | |
| | ||||||
* | Fixed typo in "verilog_write" help message | acw1251 | 2018-09-18 | 1 | -3/+3 | |
| | ||||||
* | Add $lut support to Verilog back-end | Clifford Wolf | 2018-09-06 | 1 | -0/+13 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Remove unused functions. | Jim Lawson | 2018-08-27 | 1 | -10/+0 | |
| | ||||||
* | Add support for module instances. | Jim Lawson | 2018-08-23 | 1 | -17/+122 | |
| | | | | | | | Don't pad logical operands to one bit. Use operand width and signedness in $reduce_bool. Shift amounts are unsigned and shouldn't be padded. Group "is invalid" with the wire declaration, not its use (otherwise it is incorrectly wired to 0). | |||||
* | Merge pull request #591 from hzeller/virtual-override | Clifford Wolf | 2018-08-15 | 15 | -36/+36 | |
|\ | | | | | Consistent use of 'override' for virtual methods in derived classes. | |||||
| * | Consistent use of 'override' for virtual methods in derived classes. | Henner Zeller | 2018-07-20 | 15 | -36/+36 | |
| | | | | | | | | | | | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established) | |||||
* | | Merge pull request #576 from cr1901/no-resource | Clifford Wolf | 2018-08-15 | 1 | -9/+12 | |
|\ \ | | | | | | | Gate POSIX-only signals and resource module to only run on POSIX Pyth… | |||||
| * | | Gate POSIX-only signals and resource module to only run on POSIX Python ↵ | William D. Jones | 2018-07-06 | 1 | -9/+12 | |
| |/ | | | | | | | implementations. | |||||
* | | Fix use of signed integers in JSON back-end | Clifford Wolf | 2018-08-14 | 1 | -1/+3 | |
| | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | | Use `realpath` | jpathy | 2018-08-06 | 1 | -1/+1 | |
|/ | | | Use `os.path.realpath` instead to make sure symlinks are followed. This is also required to work for nix package manager. | |||||
* | Fix protobuf build | Sergiusz Bazanski | 2018-06-20 | 1 | -1/+1 | |
| | ||||||
* | Add Protobuf backend | Serge Bazanski | 2018-06-19 | 3 | -0/+380 | |
| | | | | Signed-off-by: Serge Bazanski <q3k@symbioticeda.com> | |||||
* | Add $dlatch support to write_verilog | Clifford Wolf | 2018-04-22 | 1 | -0/+38 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> | |||||
* | Add "write_blif -inames -iattr" | Clifford Wolf | 2018-04-15 | 1 | -22/+46 | |
| | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at> |