From 30f122854a6dd00f81fc15e7c9384644dd90385b Mon Sep 17 00:00:00 2001 From: David Shah Date: Thu, 26 Jul 2018 13:05:15 +0200 Subject: ecp5: Helper function and arch tweaks for global router Signed-off-by: David Shah --- ecp5/arch.cc | 6 ++++++ ecp5/arch.h | 23 +++++++++++++++++++++++ ecp5/globals.cc | 52 ++++++++++++++++++++++++++++++++++++++++++++++++++++ 3 files changed, 81 insertions(+) create mode 100644 ecp5/globals.cc (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 82ebfba1..6b701a32 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -579,4 +579,10 @@ std::vector> Arch::getTilesAtLocation(int ro return ret; } +GlobalInfoPOD Arch::globalInfoAtLoc(Location loc) +{ + int locidx = loc.y * chip_info->width + loc.x; + return chip_info->location_glbinfo[locidx]; +} + NEXTPNR_NAMESPACE_END diff --git a/ecp5/arch.h b/ecp5/arch.h index da86d4e2..1908f122 100644 --- a/ecp5/arch.h +++ b/ecp5/arch.h @@ -640,6 +640,21 @@ struct Arch : BaseCtx return range; } + IdString getWireBasename(WireId wire) const { return id(locInfo(wire)->wire_data[wire.index].name.get()); } + + WireId getWireByLocAndBasename(Location loc, std::string basename) const + { + WireId wireId; + wireId.location = loc; + for (int i = 0; i < locInfo(wireId)->num_wires; i++) { + if (locInfo(wireId)->wire_data[i].name.get() == basename) { + wireId.index = i; + return wireId; + } + } + return WireId(); + } + // ------------------------------------------------- PipId getPipByName(IdString name) const; @@ -891,6 +906,14 @@ struct Arch : BaseCtx } NPNR_ASSERT_FALSE_STR("no tile at (" + std::to_string(col) + ", " + std::to_string(row) + ") with type in set"); } + + GlobalInfoPOD globalInfoAtLoc(Location loc); + + IdString id_trellis_slice; + IdString id_clk, id_lsr; + IdString id_clkmux, id_lsrmux; + IdString id_srmode, id_mode; + }; NEXTPNR_NAMESPACE_END diff --git a/ecp5/globals.cc b/ecp5/globals.cc new file mode 100644 index 00000000..d435ca93 --- /dev/null +++ b/ecp5/globals.cc @@ -0,0 +1,52 @@ +/* + * nextpnr -- Next Generation Place and Route + * + * Copyright (C) 2018 David Shah + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +#include "nextpnr.h" + +NEXTPNR_NAMESPACE_BEGIN + +class Ecp5GlobalRouter +{ + public: + Ecp5GlobalRouter(Context *ctx) : ctx(ctx){}; + + PipId find_tap_pip(WireId tile_glb) + { + std::string wireName = ctx->getWireBasename(tile_glb).str(ctx); + std::string glbName = wireName.substr(2); + TapDirection td = ctx->globalInfoAtLoc(tile_glb.location).tap_dir; + WireId tap_wire; + Location tap_loc; + tap_loc.x = ctx->globalInfoAtLoc(tile_glb.location).tap_col; + tap_loc.y = tile_glb.location.y; + if (td == TAP_DIR_LEFT) { + tap_wire = ctx->getWireByLocAndBasename(tap_loc, "L_" + glbName); + } else { + tap_wire = ctx->getWireByLocAndBasename(tap_loc, "R_" + glbName); + } + return *(ctx->getPipsUphill(tap_wire).begin()); + } + + private: + Context *ctx; +}; + +void route_ecp5_globals(Context *ctx); + +NEXTPNR_NAMESPACE_END -- cgit v1.2.3 From 7d48acff52cfa31cf7873d7462a3a4e6395ee520 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 29 Jul 2018 10:07:58 +0200 Subject: ecp5: Clock usage counter function Signed-off-by: David Shah --- ecp5/globals.cc | 35 ++++++++++++++++++++++++++++++++++- 1 file changed, 34 insertions(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index d435ca93..770eb7e2 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -18,6 +18,7 @@ */ #include "nextpnr.h" +#include NEXTPNR_NAMESPACE_BEGIN @@ -25,6 +26,39 @@ class Ecp5GlobalRouter { public: Ecp5GlobalRouter(Context *ctx) : ctx(ctx){}; + private: + + bool is_clock_port(const PortRef &user) { + if (user.cell->type == ctx->id("TRELLIS_LC") && user.port == ctx->id("CLK")) + return true; + return false; + } + + std::vector get_clocks() + { + std::unordered_map clockCount; + for (auto &net : ctx->nets) { + NetInfo *ni = net.second.get(); + clockCount[ni->name] = 0; + for (const auto &user : ni->users) { + if (is_clock_port(user)) + clockCount[ni->name]++; + } + } + std::vector clocks; + while (clocks.size() < 16) { + auto max = std::max_element(clockCount.begin(), clockCount.end(), []( + const decltype(clockCount)::value_type &a, const decltype(clockCount)::value_type &b + ) { + return a.second < b.second; + }); + if (max == clockCount.end() || max->second < 3) + break; + clocks.push_back(ctx->nets.at(max->first).get()); + clockCount.erase(max->first); + } + return clocks; + } PipId find_tap_pip(WireId tile_glb) { @@ -43,7 +77,6 @@ class Ecp5GlobalRouter return *(ctx->getPipsUphill(tap_wire).begin()); } - private: Context *ctx; }; -- cgit v1.2.3 From d43138b022c84efc01895f51f15244d8a42a5156 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 29 Jul 2018 10:35:05 +0200 Subject: ecp5: Global routing algorithm up to TAPs Signed-off-by: David Shah --- ecp5/globals.cc | 85 ++++++++++++++++++++++++++++++++++++++++++++++++++------- 1 file changed, 75 insertions(+), 10 deletions(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 770eb7e2..9d51316c 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -17,8 +17,14 @@ * */ -#include "nextpnr.h" #include +#include +#include +#include "nextpnr.h" + +#include "log.h" + +#define fmt_str(x) (static_cast(std::ostringstream() << x).str()) NEXTPNR_NAMESPACE_BEGIN @@ -26,15 +32,16 @@ class Ecp5GlobalRouter { public: Ecp5GlobalRouter(Context *ctx) : ctx(ctx){}; - private: - bool is_clock_port(const PortRef &user) { + private: + bool is_clock_port(const PortRef &user) + { if (user.cell->type == ctx->id("TRELLIS_LC") && user.port == ctx->id("CLK")) return true; return false; } - std::vector get_clocks() + std::vector get_clocks() { std::unordered_map clockCount; for (auto &net : ctx->nets) { @@ -45,13 +52,11 @@ class Ecp5GlobalRouter clockCount[ni->name]++; } } - std::vector clocks; + std::vector clocks; while (clocks.size() < 16) { - auto max = std::max_element(clockCount.begin(), clockCount.end(), []( - const decltype(clockCount)::value_type &a, const decltype(clockCount)::value_type &b - ) { - return a.second < b.second; - }); + auto max = std::max_element(clockCount.begin(), clockCount.end(), + [](const decltype(clockCount)::value_type &a, + const decltype(clockCount)::value_type &b) { return a.second < b.second; }); if (max == clockCount.end() || max->second < 3) break; clocks.push_back(ctx->nets.at(max->first).get()); @@ -77,6 +82,66 @@ class Ecp5GlobalRouter return *(ctx->getPipsUphill(tap_wire).begin()); } + void route_logic_tile_global(IdString net, int global_index, PortRef user) + { + WireId userWire = ctx->getBelPinWire(user.cell->bel, ctx->portPinFromId(user.port)); + WireId globalWire; + IdString global_name = ctx->id(fmt_str("G_HPBX" << std::setw(2) << std::setfill('0') << global_index << "00")); + std::queue upstream; + std::unordered_map backtrace; + upstream.push(userWire); + bool already_routed = false; + // Search back from the pin until we reach the global network + while (true) { + WireId next = upstream.front(); + upstream.pop(); + + if (ctx->getBoundWireNet(next) == net) { + already_routed = true; + globalWire = next; + break; + } + + if (ctx->getWireBasename(next) == global_name) { + globalWire = next; + break; + } + if (ctx->checkWireAvail(next)) { + for (auto pip : ctx->getPipsUphill(next)) { + WireId src = ctx->getPipSrcWire(pip); + backtrace[src] = pip; + upstream.push(src); + } + } + if (upstream.size() > 3000) { + log_error("failed to route HPBX%02d00 to %s.%s\n", global_index, + ctx->getBelName(user.cell->bel).c_str(ctx), user.port.c_str(ctx)); + } + } + // Set all the pips we found along the way + WireId cursor = userWire; + while (true) { + auto fnd = backtrace.find(cursor); + if (fnd == backtrace.end()) + break; + ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); + cursor = ctx->getPipSrcWire(fnd->second); + } + // If the global network inside the tile isn't already set up, + // we also need to bind the buffers along the way + if (!already_routed) { + ctx->bindWire(cursor, net, STRENGTH_LOCKED); + PipId tap_pip = find_tap_pip(cursor); + IdString tap_net = ctx->getBoundPipNet(tap_pip); + if (tap_net == IdString()) { + ctx->bindPip(tap_pip, net, STRENGTH_LOCKED); + // TODO: SPINE + } else { + NPNR_ASSERT(tap_net == net); + } + } + } + Context *ctx; }; -- cgit v1.2.3 From bc10a5646d337ef1cf412aaf58eef51e16eb4474 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 31 Jul 2018 14:03:35 +0200 Subject: ecp5: Working on global router Signed-off-by: David Shah --- ecp5/globals.cc | 88 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 88 insertions(+) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 9d51316c..453429e6 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -28,6 +28,21 @@ NEXTPNR_NAMESPACE_BEGIN +static std::string get_quad_name(GlobalQuadrant quad) +{ + switch (quad) { + case QUAD_UL: + return "UL"; + case QUAD_UR: + return "UR"; + case QUAD_LL: + return "LL"; + case QUAD_LR: + return "LR"; + } + return ""; +} + class Ecp5GlobalRouter { public: @@ -142,6 +157,79 @@ class Ecp5GlobalRouter } } + bool is_global_io(CellInfo *io, std::string &glb_name) + { + std::string func_name = ctx->getPioFunctionName(io->bel); + if (func_name.substr(0, 5) == "PCLKT") { + func_name.erase(func_name.find('_'), 1); + glb_name = "G_" + func_name; + return true; + } + return false; + } + + WireId get_global_wire(GlobalQuadrant quad, int network) + { + return ctx->getWireByLocAndBasename(Location(0, 0), get_quad_name(quad) + "PCLK" + std::to_string(network)); + } + + void simple_router(IdString net, WireId src, WireId dst) + { + std::queue visit; + std::unordered_map backtrace; + visit.push(src); + WireId cursor; + while (true) { + if (visit.empty() || visit.size() > 50000) { + log_error("cannot route global from %s to %s.\n", ctx->getWireName(src).c_str(ctx), + ctx->getWireName(dst).c_str(ctx)); + } + cursor = visit.back(); + visit.pop(); + IdString bound = ctx->getBoundWireNet(cursor); + if (bound == net) { + break; + } else if (bound != IdString()) { + continue; + } + if (cursor == dst) + break; + for (auto dh : ctx->getPipsDownhill(cursor)) { + WireId pipDst = ctx->getPipDstWire(dh); + if (backtrace.count(pipDst)) + continue; + backtrace[pipDst] = dh; + visit.push(pipDst); + } + } + while (true) { + auto fnd = backtrace.find(cursor); + if (fnd == backtrace.end()) + break; + ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); + cursor = ctx->getPipSrcWire(fnd->second); + } + } + + void route_onto_global(NetInfo *net, int network) + { + WireId glb_src; + if (net->driver.cell->type == ctx->id("TRELLIS_IO")) { + std::string ioglb; + if (!is_global_io(net->driver.cell, ioglb)) + goto non_dedicated; + glb_src = ctx->getWireByLocAndBasename(Location(0, 0), ioglb); + } + for (int quad = QUAD_UL; quad < QUAD_LR + 1; quad++) { + WireId glb_dst = get_global_wire(GlobalQuadrant(quad), network); + simple_router(net->name, glb_src, glb_dst); + } + if (false) { + non_dedicated: + log_error("FIXME: currenly global networks can only be driven by dedicated global input pins"); + } + } + Context *ctx; }; -- cgit v1.2.3 From 97b12fa741a48efed1014aecf8283eabb2919d20 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 6 Aug 2018 12:24:41 +0200 Subject: ecp5: Add DCC Bels, fix global router post-rebase Signed-off-by: David Shah --- ecp5/arch.cc | 1 + ecp5/constids.inc | 4 ++++ ecp5/globals.cc | 14 +++++++------- 3 files changed, 12 insertions(+), 7 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 6b701a32..3aa24aca 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -43,6 +43,7 @@ static std::tuple split_identifier_name(const std::string // ----------------------------------------------------------------------- + void IdString::initialize_arch(const BaseCtx *ctx) { #define X(t) initialize_add(ctx, #t, ID_##t); diff --git a/ecp5/constids.inc b/ecp5/constids.inc index 12eb4a5a..bd55fa90 100644 --- a/ecp5/constids.inc +++ b/ecp5/constids.inc @@ -47,6 +47,10 @@ X(B) X(TRELLIS_SLICE) X(TRELLIS_IO) +X(DCCA) X(CLKMUX) X(LSRMUX) X(SRMODE) + +X(CLKI) +X(CLKO) diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 453429e6..df7f4461 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -97,7 +97,7 @@ class Ecp5GlobalRouter return *(ctx->getPipsUphill(tap_wire).begin()); } - void route_logic_tile_global(IdString net, int global_index, PortRef user) + void route_logic_tile_global(NetInfo *net, int global_index, PortRef user) { WireId userWire = ctx->getBelPinWire(user.cell->bel, ctx->portPinFromId(user.port)); WireId globalWire; @@ -147,8 +147,8 @@ class Ecp5GlobalRouter if (!already_routed) { ctx->bindWire(cursor, net, STRENGTH_LOCKED); PipId tap_pip = find_tap_pip(cursor); - IdString tap_net = ctx->getBoundPipNet(tap_pip); - if (tap_net == IdString()) { + NetInfo *tap_net = ctx->getBoundPipNet(tap_pip); + if (tap_net == nullptr) { ctx->bindPip(tap_pip, net, STRENGTH_LOCKED); // TODO: SPINE } else { @@ -173,7 +173,7 @@ class Ecp5GlobalRouter return ctx->getWireByLocAndBasename(Location(0, 0), get_quad_name(quad) + "PCLK" + std::to_string(network)); } - void simple_router(IdString net, WireId src, WireId dst) + void simple_router(NetInfo *net, WireId src, WireId dst) { std::queue visit; std::unordered_map backtrace; @@ -186,10 +186,10 @@ class Ecp5GlobalRouter } cursor = visit.back(); visit.pop(); - IdString bound = ctx->getBoundWireNet(cursor); + NetInfo *bound = ctx->getBoundWireNet(cursor); if (bound == net) { break; - } else if (bound != IdString()) { + } else if (bound != nullptr) { continue; } if (cursor == dst) @@ -222,7 +222,7 @@ class Ecp5GlobalRouter } for (int quad = QUAD_UL; quad < QUAD_LR + 1; quad++) { WireId glb_dst = get_global_wire(GlobalQuadrant(quad), network); - simple_router(net->name, glb_src, glb_dst); + simple_router(net, glb_src, glb_dst); } if (false) { non_dedicated: -- cgit v1.2.3 From dfdaaa6f57a0a9f87878491a24b659e94aaec5fd Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 7 Aug 2018 12:16:51 +0200 Subject: ecp5: Adding DCCA insertion function Signed-off-by: David Shah --- ecp5/cells.cc | 4 ++++ ecp5/globals.cc | 29 +++++++++++++++++++++++++++++ 2 files changed, 33 insertions(+) (limited to 'ecp5') diff --git a/ecp5/cells.cc b/ecp5/cells.cc index e3532f36..c7afdbc2 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -124,6 +124,10 @@ std::unique_ptr create_ecp5_cell(Context *ctx, IdString type, std::str add_port(ctx, new_cell.get(), "C", PORT_IN); add_port(ctx, new_cell.get(), "D", PORT_IN); add_port(ctx, new_cell.get(), "Z", PORT_OUT); + } else if (type == ctx->id("DCCA")) { + add_port(ctx, new_cell.get(), "CLKI", PORT_IN); + add_port(ctx, new_cell.get(), "CLKO", PORT_OUT); + add_port(ctx, new_cell.get(), "CE", PORT_IN); } else { log_error("unable to create ECP5 cell of type %s", type.c_str(ctx)); } diff --git a/ecp5/globals.cc b/ecp5/globals.cc index df7f4461..3d7d7518 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -21,6 +21,7 @@ #include #include #include "nextpnr.h" +#include "cells.h" #include "log.h" @@ -230,6 +231,34 @@ class Ecp5GlobalRouter } } + + // Insert a DCC into a net to promote it to a global + NetInfo *insert_dcc(NetInfo *net) + { + auto dcc = create_ecp5_cell(ctx, ctx->id("DCCA"), "$gbuf$" + net->name.str(ctx)); + + std::unique_ptr glbnet = std::unique_ptr(new NetInfo); + glbnet->name = ctx->id("$glbnet$" + net->name.str(ctx)); + glbnet->driver.cell = dcc.get(); + glbnet->driver.port = ctx->id("CLKO"); + + for (auto user : net->users) { + user.cell->ports.at(user.port).net = glbnet.get(); + } + net->users.clear(); + + dcc->ports[ctx->id("CLKI")].net = net; + PortRef clki_pr; + clki_pr.port = ctx->id("CLKI"); + clki_pr.cell = dcc.get(); + net->users.push_back(clki_pr); + + ctx->cells[dcc->name] = std::move(dcc); + NetInfo *glbptr = glbnet.get(); + ctx->nets[glbnet->name] = std::move(glbnet); + return glbptr; + } + Context *ctx; }; -- cgit v1.2.3 From 24414614d2896b6cbb62457e90f5d997d9a1d32a Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 7 Aug 2018 15:12:55 +0200 Subject: ecp5: Import SPINE data to database Signed-off-by: David Shah --- ecp5/arch.h | 2 ++ ecp5/trellis_import.py | 9 ++++++++- 2 files changed, 10 insertions(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/arch.h b/ecp5/arch.h index 1908f122..bbab3918 100644 --- a/ecp5/arch.h +++ b/ecp5/arch.h @@ -147,6 +147,8 @@ NPNR_PACKED_STRUCT(struct GlobalInfoPOD { int16_t tap_col; TapDirection tap_dir; GlobalQuadrant quad; + int16_t spine_row; + int16_t spine_col; }); NPNR_PACKED_STRUCT(struct ChipInfoPOD { diff --git a/ecp5/trellis_import.py b/ecp5/trellis_import.py index de8e9958..9a26b605 100755 --- a/ecp5/trellis_import.py +++ b/ecp5/trellis_import.py @@ -128,7 +128,12 @@ def process_loc_globals(chip): for x in range(0, max_col+1): quad = chip.global_data.get_quadrant(y, x) tapdrv = chip.global_data.get_tap_driver(y, x) - global_data[x, y] = (quadrants.index(quad), int(tapdrv.dir), tapdrv.col) + if tapdrv.col == x: + spinedrv = chip.global_data.get_spine_driver(quad, x) + spine = (spinedrv.second, spinedrv.first) + else: + spine = (-1, -1) + global_data[x, y] = (quadrants.index(quad), int(tapdrv.dir), tapdrv.col, spine) def get_wire_type(name): if "H00" in name or "V00" in name: @@ -282,6 +287,8 @@ def write_database(dev_name, chip, ddrg, endianness): bba.u16(global_data[x, y][2], "tap_col") bba.u8(global_data[x, y][1], "tap_dir") bba.u8(global_data[x, y][0], "quad") + bba.u16(global_data[x, y][3][1], "spine_row") + bba.u16(global_data[x, y][3][0], "spine_col") for package, pkgdata in sorted(packages.items()): bba.l("package_data_%s" % package, "PackagePinPOD") -- cgit v1.2.3 From c8674652dc9ee7d28bc8ded1c0a9ac7a6e168176 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 7 Aug 2018 15:53:41 +0200 Subject: ecp5: Add SPINE routing to global router Signed-off-by: David Shah --- ecp5/globals.cc | 18 +++++++++++++++++- 1 file changed, 17 insertions(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 3d7d7518..155d4ecb 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -98,6 +98,16 @@ class Ecp5GlobalRouter return *(ctx->getPipsUphill(tap_wire).begin()); } + PipId find_spine_pip(WireId tap_wire) + { + std::string wireName = ctx->getWireBasename(tap_wire).str(ctx); + Location spine_loc; + spine_loc.x = ctx->globalInfoAtLoc(tap_wire.location).spine_col; + spine_loc.y = ctx->globalInfoAtLoc(tap_wire.location).spine_row; + WireId spine_wire = ctx->getWireByLocAndBasename(spine_loc, wireName); + return *(ctx->getPipsUphill(spine_wire).begin()); + } + void route_logic_tile_global(NetInfo *net, int global_index, PortRef user) { WireId userWire = ctx->getBelPinWire(user.cell->bel, ctx->portPinFromId(user.port)); @@ -151,7 +161,13 @@ class Ecp5GlobalRouter NetInfo *tap_net = ctx->getBoundPipNet(tap_pip); if (tap_net == nullptr) { ctx->bindPip(tap_pip, net, STRENGTH_LOCKED); - // TODO: SPINE + PipId spine_pip = find_spine_pip(ctx->getPipSrcWire(tap_pip)); + NetInfo *spine_net = ctx->getBoundPipNet(spine_pip); + if (spine_net == nullptr) { + ctx->bindPip(spine_pip, net, STRENGTH_LOCKED); + } else { + NPNR_ASSERT(spine_net == net); + } } else { NPNR_ASSERT(tap_net == net); } -- cgit v1.2.3 From f7a270a1d868b632bd178f1927d2270aa11e053d Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 16:15:17 +0100 Subject: ecp5: Fix globals.cc following API update Signed-off-by: David Shah --- ecp5/globals.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 155d4ecb..5c5c7c13 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -110,7 +110,7 @@ class Ecp5GlobalRouter void route_logic_tile_global(NetInfo *net, int global_index, PortRef user) { - WireId userWire = ctx->getBelPinWire(user.cell->bel, ctx->portPinFromId(user.port)); + WireId userWire = ctx->getBelPinWire(user.cell->bel, user.port); WireId globalWire; IdString global_name = ctx->id(fmt_str("G_HPBX" << std::setw(2) << std::setfill('0') << global_index << "00")); std::queue upstream; -- cgit v1.2.3 From 4cd582478b84c5d73faf7b43452b4976a612e685 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 16:37:18 +0100 Subject: ecp5: Adding main global promoter/router function Signed-off-by: David Shah --- ecp5/globals.cc | 76 +++++++++++++++++++++++++++++++++++++++++---------------- ecp5/globals.h | 26 ++++++++++++++++++++ 2 files changed, 81 insertions(+), 21 deletions(-) create mode 100644 ecp5/globals.h (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 5c5c7c13..b68bed28 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -22,7 +22,7 @@ #include #include "nextpnr.h" #include "cells.h" - +#include "globals.h" #include "log.h" #define fmt_str(x) (static_cast(std::ostringstream() << x).str()) @@ -73,7 +73,7 @@ class Ecp5GlobalRouter auto max = std::max_element(clockCount.begin(), clockCount.end(), [](const decltype(clockCount)::value_type &a, const decltype(clockCount)::value_type &b) { return a.second < b.second; }); - if (max == clockCount.end() || max->second < 3) + if (max == clockCount.end() || max->second < 5) break; clocks.push_back(ctx->nets.at(max->first).get()); clockCount.erase(max->first); @@ -190,7 +190,7 @@ class Ecp5GlobalRouter return ctx->getWireByLocAndBasename(Location(0, 0), get_quad_name(quad) + "PCLK" + std::to_string(network)); } - void simple_router(NetInfo *net, WireId src, WireId dst) + bool simple_router(NetInfo *net, WireId src, WireId dst, bool allow_fail = false) { std::queue visit; std::unordered_map backtrace; @@ -198,6 +198,8 @@ class Ecp5GlobalRouter WireId cursor; while (true) { if (visit.empty() || visit.size() > 50000) { + if (allow_fail) + return false; log_error("cannot route global from %s to %s.\n", ctx->getWireName(src).c_str(ctx), ctx->getWireName(dst).c_str(ctx)); } @@ -226,58 +228,90 @@ class Ecp5GlobalRouter ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); cursor = ctx->getPipSrcWire(fnd->second); } + return true; } - void route_onto_global(NetInfo *net, int network) + bool route_onto_global(NetInfo *net, int network) { WireId glb_src; - if (net->driver.cell->type == ctx->id("TRELLIS_IO")) { - std::string ioglb; - if (!is_global_io(net->driver.cell, ioglb)) - goto non_dedicated; - glb_src = ctx->getWireByLocAndBasename(Location(0, 0), ioglb); - } + NPNR_ASSERT(net->driver.cell->type == id_DCCA); + glb_src = ctx->getNetinfoSourceWire(net); for (int quad = QUAD_UL; quad < QUAD_LR + 1; quad++) { WireId glb_dst = get_global_wire(GlobalQuadrant(quad), network); - simple_router(net, glb_src, glb_dst); - } - if (false) { - non_dedicated: - log_error("FIXME: currenly global networks can only be driven by dedicated global input pins"); + bool routed = simple_router(net, glb_src, glb_dst); + if (!routed) + return false; } + return true; } + // Attempt to place a DCC + void place_dcc(CellInfo *dcc) { + for (auto bel : ctx->getBels()) { + if (ctx->getBelType(bel) == id_DCCA && ctx->checkBelAvail(bel)) { + if (ctx->isValidBelForCell(dcc, bel)) { + ctx->bindBel(bel, dcc, STRENGTH_LOCKED); + return; + } + } + } + NPNR_ASSERT_FALSE("failed to place dcca"); + } + // Insert a DCC into a net to promote it to a global NetInfo *insert_dcc(NetInfo *net) { - auto dcc = create_ecp5_cell(ctx, ctx->id("DCCA"), "$gbuf$" + net->name.str(ctx)); + auto dcc = create_ecp5_cell(ctx, id_DCCA, "$gbuf$" + net->name.str(ctx)); std::unique_ptr glbnet = std::unique_ptr(new NetInfo); glbnet->name = ctx->id("$glbnet$" + net->name.str(ctx)); glbnet->driver.cell = dcc.get(); - glbnet->driver.port = ctx->id("CLKO"); + glbnet->driver.port = id_CLKO; for (auto user : net->users) { user.cell->ports.at(user.port).net = glbnet.get(); } net->users.clear(); - dcc->ports[ctx->id("CLKI")].net = net; + dcc->ports[id_CLKI].net = net; PortRef clki_pr; - clki_pr.port = ctx->id("CLKI"); + clki_pr.port = id_CLKI; clki_pr.cell = dcc.get(); net->users.push_back(clki_pr); + place_dcc(dcc.get()); + ctx->cells[dcc->name] = std::move(dcc); NetInfo *glbptr = glbnet.get(); ctx->nets[glbnet->name] = std::move(glbnet); return glbptr; } - Context *ctx; + + +public: + void promote_and_route_globals() { + log_info("Promoting and routing globals..."); + auto clocks = get_clocks(); + int glbid = 0; + for (auto clock : clocks) { + log_info(" promoting clock net %s to global %d\n", clock->name.c_str(ctx), glbid); + auto old_users = clock->users; + NetInfo *global = insert_dcc(clock); + bool routed = route_onto_global(global, glbid); + NPNR_ASSERT(routed); + for (const auto &user : global->users) { + route_logic_tile_global(global, glbid, user); + } + glbid++; + } + } + }; -void route_ecp5_globals(Context *ctx); +void route_ecp5_globals(Context *ctx) { + Ecp5GlobalRouter(ctx).promote_and_route_globals(); +} NEXTPNR_NAMESPACE_END diff --git a/ecp5/globals.h b/ecp5/globals.h new file mode 100644 index 00000000..23e25c8d --- /dev/null +++ b/ecp5/globals.h @@ -0,0 +1,26 @@ +/* + * nextpnr -- Next Generation Place and Route + * + * Copyright (C) 2018 David Shah + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +#include "nextpnr.h" + +NEXTPNR_NAMESPACE_BEGIN + +void route_ecp5_globals(Context *ctx); + +NEXTPNR_NAMESPACE_END \ No newline at end of file -- cgit v1.2.3 From 2a0bb2be29a58017c31dd813cc061268abb292f8 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 16:49:29 +0100 Subject: ecp5: Integrate global router and debug naming Signed-off-by: David Shah --- ecp5/arch.cc | 6 +++++- ecp5/globals.cc | 6 ++++-- 2 files changed, 9 insertions(+), 3 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 3aa24aca..861aeef2 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -27,6 +27,7 @@ #include "placer1.h" #include "router1.h" #include "util.h" +#include "globals.h" NEXTPNR_NAMESPACE_BEGIN @@ -390,7 +391,10 @@ bool Arch::getBudgetOverride(const NetInfo *net_info, const PortRef &sink, delay bool Arch::place() { return placer1(getCtx(), Placer1Cfg(getCtx())); } -bool Arch::route() { return router1(getCtx(), Router1Cfg(getCtx())); } +bool Arch::route() { + route_ecp5_globals(getCtx()); + return router1(getCtx(), Router1Cfg(getCtx())); +} // ----------------------------------------------------------------------- diff --git a/ecp5/globals.cc b/ecp5/globals.cc index b68bed28..0c95cd23 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -52,7 +52,7 @@ class Ecp5GlobalRouter private: bool is_clock_port(const PortRef &user) { - if (user.cell->type == ctx->id("TRELLIS_LC") && user.port == ctx->id("CLK")) + if (user.cell->type == id_TRELLIS_SLICE && user.port == id_CLK) return true; return false; } @@ -67,6 +67,7 @@ class Ecp5GlobalRouter if (is_clock_port(user)) clockCount[ni->name]++; } + //log_info("clkcount %s: %d\n", ni->name.c_str(ctx),clockCount[ni->name]); } std::vector clocks; while (clocks.size() < 16) { @@ -187,7 +188,7 @@ class Ecp5GlobalRouter WireId get_global_wire(GlobalQuadrant quad, int network) { - return ctx->getWireByLocAndBasename(Location(0, 0), get_quad_name(quad) + "PCLK" + std::to_string(network)); + return ctx->getWireByLocAndBasename(Location(0, 0), "G_" + get_quad_name(quad) + "PCLK" + std::to_string(network)); } bool simple_router(NetInfo *net, WireId src, WireId dst, bool allow_fail = false) @@ -238,6 +239,7 @@ class Ecp5GlobalRouter glb_src = ctx->getNetinfoSourceWire(net); for (int quad = QUAD_UL; quad < QUAD_LR + 1; quad++) { WireId glb_dst = get_global_wire(GlobalQuadrant(quad), network); + NPNR_ASSERT(glb_dst != WireId()); bool routed = simple_router(net, glb_src, glb_dst); if (!routed) return false; -- cgit v1.2.3 From 9ff5d5a73534d19c78cc2b8c9cf4bd4d351978fa Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 17:01:19 +0100 Subject: ecp5: Fixing global router bugs Signed-off-by: David Shah --- ecp5/globals.cc | 17 ++++++++++++++--- 1 file changed, 14 insertions(+), 3 deletions(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 0c95cd23..53bf303c 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -198,17 +198,19 @@ class Ecp5GlobalRouter visit.push(src); WireId cursor; while (true) { + if (visit.empty() || visit.size() > 50000) { if (allow_fail) return false; log_error("cannot route global from %s to %s.\n", ctx->getWireName(src).c_str(ctx), ctx->getWireName(dst).c_str(ctx)); } - cursor = visit.back(); + cursor = visit.front(); visit.pop(); NetInfo *bound = ctx->getBoundWireNet(cursor); + if (ctx->verbose) + log_info(" exploring %s\n", ctx->getWireName(cursor).c_str(ctx)); if (bound == net) { - break; } else if (bound != nullptr) { continue; } @@ -216,6 +218,8 @@ class Ecp5GlobalRouter break; for (auto dh : ctx->getPipsDownhill(cursor)) { WireId pipDst = ctx->getPipDstWire(dh); + if (ctx->verbose) + log_info(" downhill -> %s\n", ctx->getWireName(pipDst).c_str(ctx)); if (backtrace.count(pipDst)) continue; backtrace[pipDst] = dh; @@ -226,9 +230,16 @@ class Ecp5GlobalRouter auto fnd = backtrace.find(cursor); if (fnd == backtrace.end()) break; + NetInfo * bound = ctx->getBoundWireNet(cursor); + if (bound != nullptr) { + NPNR_ASSERT(bound == net); + break; + } ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); cursor = ctx->getPipSrcWire(fnd->second); } + if (ctx->getBoundWireNet(src) == nullptr) + ctx->bindWire(src, net, STRENGTH_LOCKED); return true; } @@ -294,7 +305,7 @@ class Ecp5GlobalRouter public: void promote_and_route_globals() { - log_info("Promoting and routing globals..."); + log_info("Promoting and routing globals...\n"); auto clocks = get_clocks(); int glbid = 0; for (auto clock : clocks) { -- cgit v1.2.3 From c2a062d254a749cb194ed7fcd44072b69bc9f2ff Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 17:13:50 +0100 Subject: ecp5: Fixing global to global user routing Signed-off-by: David Shah --- ecp5/globals.cc | 19 +++++++++++++------ 1 file changed, 13 insertions(+), 6 deletions(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 53bf303c..09e298d0 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -92,10 +92,13 @@ class Ecp5GlobalRouter tap_loc.x = ctx->globalInfoAtLoc(tile_glb.location).tap_col; tap_loc.y = tile_glb.location.y; if (td == TAP_DIR_LEFT) { + log_info(" finding tap %d, %d, %s\n", tap_loc.x, tap_loc.y, ("L_" + glbName).c_str()); tap_wire = ctx->getWireByLocAndBasename(tap_loc, "L_" + glbName); } else { + log_info(" finding tap %d, %d, %s\n", tap_loc.x, tap_loc.y, ("R_" + glbName).c_str()); tap_wire = ctx->getWireByLocAndBasename(tap_loc, "R_" + glbName); } + NPNR_ASSERT(tap_wire != WireId()); return *(ctx->getPipsUphill(tap_wire).begin()); } @@ -118,9 +121,10 @@ class Ecp5GlobalRouter std::unordered_map backtrace; upstream.push(userWire); bool already_routed = false; + WireId next; // Search back from the pin until we reach the global network while (true) { - WireId next = upstream.front(); + next = upstream.front(); upstream.pop(); if (ctx->getBoundWireNet(next) == net) { @@ -145,20 +149,23 @@ class Ecp5GlobalRouter ctx->getBelName(user.cell->bel).c_str(ctx), user.port.c_str(ctx)); } } + log_info(" routing net %s from %s\n", net->name.c_str(ctx), ctx->getWireName(next).c_str(ctx)); // Set all the pips we found along the way - WireId cursor = userWire; + WireId cursor = next; while (true) { auto fnd = backtrace.find(cursor); if (fnd == backtrace.end()) break; ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); - cursor = ctx->getPipSrcWire(fnd->second); + cursor = ctx->getPipDstWire(fnd->second); + log_info(" via %s\n", ctx->getWireName(cursor).c_str(ctx)); + } // If the global network inside the tile isn't already set up, // we also need to bind the buffers along the way if (!already_routed) { - ctx->bindWire(cursor, net, STRENGTH_LOCKED); - PipId tap_pip = find_tap_pip(cursor); + ctx->bindWire(next, net, STRENGTH_LOCKED); + PipId tap_pip = find_tap_pip(next); NetInfo *tap_net = ctx->getBoundPipNet(tap_pip); if (tap_net == nullptr) { ctx->bindPip(tap_pip, net, STRENGTH_LOCKED); @@ -281,7 +288,7 @@ class Ecp5GlobalRouter glbnet->name = ctx->id("$glbnet$" + net->name.str(ctx)); glbnet->driver.cell = dcc.get(); glbnet->driver.port = id_CLKO; - + glbnet->users = net->users; for (auto user : net->users) { user.cell->ports.at(user.port).net = glbnet.get(); } -- cgit v1.2.3 From c5f9a12bb103626e980bd4b843d270eb3ae64d41 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 17:36:08 +0100 Subject: ecp5: Global router produces a working bitstream Signed-off-by: David Shah --- ecp5/arch.cc | 2 ++ ecp5/bitstream.cc | 2 ++ 2 files changed, 4 insertions(+) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 861aeef2..1479e6ca 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -568,6 +568,8 @@ TimingPortClass Arch::getPortTimingClass(const CellInfo *cell, IdString port, Id if (port == id_O) return TMG_STARTPOINT; return TMG_IGNORE; + } else if (cell->type == id_DCCA) { + return TMG_IGNORE; } else { NPNR_ASSERT_FALSE_STR("no timing data for cell type '" + cell->type.str(this) + "'"); } diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index a1edf9e5..f04b1269 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -294,6 +294,8 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex if (dir == "INPUT" && !is_differential(ioType_from_str(iotype))) { cc.tiles[pio_tile].add_enum(pio + ".HYSTERESIS", "ON"); } + } else if (ci->type == ctx->id("DCCA")) { + // Nothing to do } else { NPNR_ASSERT_FALSE("unsupported cell type"); } -- cgit v1.2.3 From 5e46d1eb98bc398b7172b1d9f0aa5505673c6198 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 17:38:39 +0100 Subject: ecp5: Remove excessive debugging from global promoter Signed-off-by: David Shah --- ecp5/globals.cc | 10 +--------- 1 file changed, 1 insertion(+), 9 deletions(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 09e298d0..b74c700b 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -92,10 +92,8 @@ class Ecp5GlobalRouter tap_loc.x = ctx->globalInfoAtLoc(tile_glb.location).tap_col; tap_loc.y = tile_glb.location.y; if (td == TAP_DIR_LEFT) { - log_info(" finding tap %d, %d, %s\n", tap_loc.x, tap_loc.y, ("L_" + glbName).c_str()); tap_wire = ctx->getWireByLocAndBasename(tap_loc, "L_" + glbName); } else { - log_info(" finding tap %d, %d, %s\n", tap_loc.x, tap_loc.y, ("R_" + glbName).c_str()); tap_wire = ctx->getWireByLocAndBasename(tap_loc, "R_" + glbName); } NPNR_ASSERT(tap_wire != WireId()); @@ -149,7 +147,6 @@ class Ecp5GlobalRouter ctx->getBelName(user.cell->bel).c_str(ctx), user.port.c_str(ctx)); } } - log_info(" routing net %s from %s\n", net->name.c_str(ctx), ctx->getWireName(next).c_str(ctx)); // Set all the pips we found along the way WireId cursor = next; while (true) { @@ -158,7 +155,6 @@ class Ecp5GlobalRouter break; ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); cursor = ctx->getPipDstWire(fnd->second); - log_info(" via %s\n", ctx->getWireName(cursor).c_str(ctx)); } // If the global network inside the tile isn't already set up, @@ -215,8 +211,6 @@ class Ecp5GlobalRouter cursor = visit.front(); visit.pop(); NetInfo *bound = ctx->getBoundWireNet(cursor); - if (ctx->verbose) - log_info(" exploring %s\n", ctx->getWireName(cursor).c_str(ctx)); if (bound == net) { } else if (bound != nullptr) { continue; @@ -225,8 +219,6 @@ class Ecp5GlobalRouter break; for (auto dh : ctx->getPipsDownhill(cursor)) { WireId pipDst = ctx->getPipDstWire(dh); - if (ctx->verbose) - log_info(" downhill -> %s\n", ctx->getWireName(pipDst).c_str(ctx)); if (backtrace.count(pipDst)) continue; backtrace[pipDst] = dh; @@ -244,7 +236,7 @@ class Ecp5GlobalRouter } ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); cursor = ctx->getPipSrcWire(fnd->second); - } + }\ if (ctx->getBoundWireNet(src) == nullptr) ctx->bindWire(src, net, STRENGTH_LOCKED); return true; -- cgit v1.2.3 From f46f205782a8f2e977fefe8161ad7ff1a9ec6ad1 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 18:06:08 +0100 Subject: ecp5: Fix handling of global to fabric connections Signed-off-by: David Shah --- ecp5/globals.cc | 23 ++++++++++++++++++++--- 1 file changed, 20 insertions(+), 3 deletions(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index b74c700b..db3ba413 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -142,7 +142,7 @@ class Ecp5GlobalRouter upstream.push(src); } } - if (upstream.size() > 3000) { + if (upstream.size() > 30000) { log_error("failed to route HPBX%02d00 to %s.%s\n", global_index, ctx->getBelName(user.cell->bel).c_str(ctx), user.port.c_str(ctx)); } @@ -306,8 +306,26 @@ public: void promote_and_route_globals() { log_info("Promoting and routing globals...\n"); auto clocks = get_clocks(); - int glbid = 0; + std::set all_globals, fab_globals; + for (int i = 0; i < 16; i++) { + all_globals.insert(i); + if (i < 8) + fab_globals.insert(i); + } for (auto clock : clocks) { + bool drives_fabric = std::any_of(clock->users.begin(), clock->users.end(), [this](const PortRef &port) { + return !is_clock_port(port); + }); + int glbid; + if (drives_fabric) { + if (fab_globals.empty()) + continue; + glbid = *(fab_globals.begin()); + } else { + glbid = *(all_globals.begin()); + } + all_globals.erase(glbid); + fab_globals.erase(glbid); log_info(" promoting clock net %s to global %d\n", clock->name.c_str(ctx), glbid); auto old_users = clock->users; NetInfo *global = insert_dcc(clock); @@ -316,7 +334,6 @@ public: for (const auto &user : global->users) { route_logic_tile_global(global, glbid, user); } - glbid++; } } -- cgit v1.2.3 From 11cdc197bc48c9ce10dd9b718d44603adf2591b9 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 18:29:23 +0100 Subject: ecp5: Fix global buffer connectivity and timing Signed-off-by: David Shah --- ecp5/arch.cc | 12 ++++++++++++ ecp5/globals.cc | 2 ++ 2 files changed, 14 insertions(+) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 1479e6ca..d42e77fa 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -28,6 +28,7 @@ #include "router1.h" #include "util.h" #include "globals.h" +#include "timing.h" NEXTPNR_NAMESPACE_BEGIN @@ -393,6 +394,7 @@ bool Arch::place() { return placer1(getCtx(), Placer1Cfg(getCtx())); } bool Arch::route() { route_ecp5_globals(getCtx()); + assign_budget(getCtx(), true); return router1(getCtx(), Router1Cfg(getCtx())); } @@ -523,6 +525,12 @@ bool Arch::getCellDelay(const CellInfo *cell, IdString fromPort, IdString toPort return true; } return false; + } else if (cell->type == id_DCCA) { + if (fromPort == id_CLKI && toPort == id_CLKO) { + delay.delay = 0; + return true; + } + return false; } else { return false; } @@ -569,6 +577,10 @@ TimingPortClass Arch::getPortTimingClass(const CellInfo *cell, IdString port, Id return TMG_STARTPOINT; return TMG_IGNORE; } else if (cell->type == id_DCCA) { + if (port == id_CLKI) + return TMG_COMB_INPUT; + if (port == id_CLKO) + return TMG_COMB_OUTPUT; return TMG_IGNORE; } else { NPNR_ASSERT_FALSE_STR("no timing data for cell type '" + cell->type.str(this) + "'"); diff --git a/ecp5/globals.cc b/ecp5/globals.cc index db3ba413..15ef05d6 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -280,6 +280,8 @@ class Ecp5GlobalRouter glbnet->name = ctx->id("$glbnet$" + net->name.str(ctx)); glbnet->driver.cell = dcc.get(); glbnet->driver.port = id_CLKO; + dcc->ports[id_CLKO].net = glbnet.get(); + glbnet->users = net->users; for (auto user : net->users) { user.cell->ports.at(user.port).net = glbnet.get(); -- cgit v1.2.3 From ab063b2456a6b1c3893af9a809d3cb276a6f8c21 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 18:37:17 +0100 Subject: clangformat Signed-off-by: David Shah --- ecp5/arch.cc | 8 ++++---- ecp5/arch.h | 1 - ecp5/globals.cc | 34 +++++++++++++++------------------- 3 files changed, 19 insertions(+), 24 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index d42e77fa..830dfc7c 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -22,13 +22,13 @@ #include #include #include "gfx.h" +#include "globals.h" #include "log.h" #include "nextpnr.h" #include "placer1.h" #include "router1.h" -#include "util.h" -#include "globals.h" #include "timing.h" +#include "util.h" NEXTPNR_NAMESPACE_BEGIN @@ -45,7 +45,6 @@ static std::tuple split_identifier_name(const std::string // ----------------------------------------------------------------------- - void IdString::initialize_arch(const BaseCtx *ctx) { #define X(t) initialize_add(ctx, #t, ID_##t); @@ -392,7 +391,8 @@ bool Arch::getBudgetOverride(const NetInfo *net_info, const PortRef &sink, delay bool Arch::place() { return placer1(getCtx(), Placer1Cfg(getCtx())); } -bool Arch::route() { +bool Arch::route() +{ route_ecp5_globals(getCtx()); assign_budget(getCtx(), true); return router1(getCtx(), Router1Cfg(getCtx())); diff --git a/ecp5/arch.h b/ecp5/arch.h index bbab3918..9eac3c9f 100644 --- a/ecp5/arch.h +++ b/ecp5/arch.h @@ -915,7 +915,6 @@ struct Arch : BaseCtx IdString id_clk, id_lsr; IdString id_clkmux, id_lsrmux; IdString id_srmode, id_mode; - }; NEXTPNR_NAMESPACE_END diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 15ef05d6..22fcbb05 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -17,13 +17,13 @@ * */ +#include "globals.h" #include #include #include -#include "nextpnr.h" #include "cells.h" -#include "globals.h" #include "log.h" +#include "nextpnr.h" #define fmt_str(x) (static_cast(std::ostringstream() << x).str()) @@ -67,7 +67,7 @@ class Ecp5GlobalRouter if (is_clock_port(user)) clockCount[ni->name]++; } - //log_info("clkcount %s: %d\n", ni->name.c_str(ctx),clockCount[ni->name]); + // log_info("clkcount %s: %d\n", ni->name.c_str(ctx),clockCount[ni->name]); } std::vector clocks; while (clocks.size() < 16) { @@ -155,7 +155,6 @@ class Ecp5GlobalRouter break; ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); cursor = ctx->getPipDstWire(fnd->second); - } // If the global network inside the tile isn't already set up, // we also need to bind the buffers along the way @@ -191,7 +190,8 @@ class Ecp5GlobalRouter WireId get_global_wire(GlobalQuadrant quad, int network) { - return ctx->getWireByLocAndBasename(Location(0, 0), "G_" + get_quad_name(quad) + "PCLK" + std::to_string(network)); + return ctx->getWireByLocAndBasename(Location(0, 0), + "G_" + get_quad_name(quad) + "PCLK" + std::to_string(network)); } bool simple_router(NetInfo *net, WireId src, WireId dst, bool allow_fail = false) @@ -229,14 +229,14 @@ class Ecp5GlobalRouter auto fnd = backtrace.find(cursor); if (fnd == backtrace.end()) break; - NetInfo * bound = ctx->getBoundWireNet(cursor); + NetInfo *bound = ctx->getBoundWireNet(cursor); if (bound != nullptr) { NPNR_ASSERT(bound == net); break; } ctx->bindPip(fnd->second, net, STRENGTH_LOCKED); cursor = ctx->getPipSrcWire(fnd->second); - }\ + } if (ctx->getBoundWireNet(src) == nullptr) ctx->bindWire(src, net, STRENGTH_LOCKED); return true; @@ -257,9 +257,9 @@ class Ecp5GlobalRouter return true; } - // Attempt to place a DCC - void place_dcc(CellInfo *dcc) { + void place_dcc(CellInfo *dcc) + { for (auto bel : ctx->getBels()) { if (ctx->getBelType(bel) == id_DCCA && ctx->checkBelAvail(bel)) { if (ctx->isValidBelForCell(dcc, bel)) { @@ -303,9 +303,9 @@ class Ecp5GlobalRouter } Context *ctx; - -public: - void promote_and_route_globals() { + public: + void promote_and_route_globals() + { log_info("Promoting and routing globals...\n"); auto clocks = get_clocks(); std::set all_globals, fab_globals; @@ -315,9 +315,8 @@ public: fab_globals.insert(i); } for (auto clock : clocks) { - bool drives_fabric = std::any_of(clock->users.begin(), clock->users.end(), [this](const PortRef &port) { - return !is_clock_port(port); - }); + bool drives_fabric = std::any_of(clock->users.begin(), clock->users.end(), + [this](const PortRef &port) { return !is_clock_port(port); }); int glbid; if (drives_fabric) { if (fab_globals.empty()) @@ -338,11 +337,8 @@ public: } } } - }; -void route_ecp5_globals(Context *ctx) { - Ecp5GlobalRouter(ctx).promote_and_route_globals(); -} +void route_ecp5_globals(Context *ctx) { Ecp5GlobalRouter(ctx).promote_and_route_globals(); } NEXTPNR_NAMESPACE_END -- cgit v1.2.3 From 0e0ad26f07354938820b6acd1d422fee3208767e Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 29 Sep 2018 19:31:49 +0100 Subject: ecp5: Use ArchNetInfo to mark global nets to ignore Signed-off-by: David Shah --- ecp5/archdefs.h | 2 ++ ecp5/globals.cc | 1 + 2 files changed, 3 insertions(+) (limited to 'ecp5') diff --git a/ecp5/archdefs.h b/ecp5/archdefs.h index c4e1413f..b5cdea3c 100644 --- a/ecp5/archdefs.h +++ b/ecp5/archdefs.h @@ -136,7 +136,9 @@ struct DecalId struct ArchNetInfo { + bool is_global = false; }; + struct ArchCellInfo { struct diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 22fcbb05..91d224e5 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -280,6 +280,7 @@ class Ecp5GlobalRouter glbnet->name = ctx->id("$glbnet$" + net->name.str(ctx)); glbnet->driver.cell = dcc.get(); glbnet->driver.port = id_CLKO; + glbnet->is_global = true; dcc->ports[id_CLKO].net = glbnet.get(); glbnet->users = net->users; -- cgit v1.2.3 From 6afc2c75fdf73493d63c511322ce06dbaa4ab0d7 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 15:12:06 +0100 Subject: ecp5: Adding carry helper functions Signed-off-by: David Shah --- ecp5/pack.cc | 86 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++- 1 file changed, 85 insertions(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/pack.cc b/ecp5/pack.cc index a2077204..694fd4d9 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -24,7 +24,7 @@ #include "design_utils.h" #include "log.h" #include "util.h" - +#include NEXTPNR_NAMESPACE_BEGIN static bool is_nextpnr_iob(Context *ctx, CellInfo *cell) @@ -312,6 +312,90 @@ class Ecp5Packer flush_cells(); } + // Create a feed in to the carry chain + CellInfo *make_carry_feed_in(NetInfo *carry, PortRef chain_in) { + std::unique_ptr feedin = + create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE")); + + feedin->params[ctx->id("MODE")] = "CCU2"; + feedin->params[ctx->id("LUT0_INITVAL")] = "10"; // LUT4 = 0; LUT2 = A + feedin->params[ctx->id("LUT1_INITVAL")] = "65535"; + feedin->params[ctx->id("INJECT1_0")] = "NO"; + feedin->params[ctx->id("INJECT1_1")] = "YES"; + + carry->users.erase(std::remove_if(carry->users.begin(), carry->users.end(), [chain_in](const PortRef &user) { + return user.port == chain_in.port && user.cell == chain_in.cell; + }), carry->users.end()); + connect_port(ctx, carry, feedin.get(), id_A0); + + std::unique_ptr new_carry(new NetInfo()); + new_carry->name = ctx->id(feedin->name.str(ctx) + "$FCO"); + connect_port(ctx, new_carry.get(), feedin.get(), id_FCO); + connect_port(ctx, new_carry.get(), chain_in.cell, chain_in.port); + + CellInfo *feedin_ptr = feedin.get(); + new_cells.push_back(std::move(feedin)); + IdString new_carry_name = new_carry->name; + ctx->nets[new_carry_name] = std::move(new_carry); + return feedin_ptr; + } + + // Create a feed out and loop through from the carry chain + CellInfo *make_carry_feed_out(NetInfo *carry, boost::optional chain_next) { + std::unique_ptr feedout = + create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE")); + feedout->params[ctx->id("MODE")] = "CCU2"; + feedout->params[ctx->id("LUT0_INITVAL")] = "0"; + feedout->params[ctx->id("LUT1_INITVAL")] = "10"; // LUT4 = 0; LUT2 = A + feedout->params[ctx->id("INJECT1_0")] = "YES"; + feedout->params[ctx->id("INJECT1_1")] = "NO"; + + PortRef carry_drv = carry->driver; + carry->driver.cell = nullptr; + connect_port(ctx, carry, feedout.get(), id_F0); + + std::unique_ptr new_cin(new NetInfo()); + new_cin->name = ctx->id(feedout->name.str(ctx) + "$FCI"); + new_cin->driver = carry_drv; + carry_drv.cell->ports.at(carry_drv.port).net = new_cin.get(); + connect_port(ctx, new_cin.get(), feedout.get(), id_FCI); + + if (chain_next) { + // Loop back into LUT4_1 for feedthrough + connect_port(ctx, carry, feedout.get(), id_A1); + + carry->users.erase(std::remove_if(carry->users.begin(), carry->users.end(), [chain_next](const PortRef &user) { + return user.port == chain_next->port && user.cell == chain_next->cell; + }), carry->users.end()); + + std::unique_ptr new_cout(new NetInfo()); + new_cout->name = ctx->id(feedout->name.str(ctx) + "$FCO"); + + chain_next->cell->ports[chain_next->port].net = nullptr; + connect_port(ctx, new_cout.get(), chain_next->cell, chain_next->port); + + IdString new_cout_name = new_cout->name; + ctx->nets[new_cout_name] = std::move(new_cout); + + } + + CellInfo *feedout_ptr = feedout.get(); + new_cells.push_back(std::move(feedout)); + + IdString new_cin_name = new_cin->name; + ctx->nets[new_cin_name] = std::move(new_cin); + + return feedout_ptr; + + } + + // Pack carries and set up appropriate relative constraints + void pack_carries() + { + log_info("Packing carries...\n"); + + } + // Pack LUTs that have been paired together void pack_lut_pairs() { -- cgit v1.2.3 From a27c7b45dee834dbf7342df0acff257aab946f25 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 16:29:26 +0100 Subject: Refactor chain finder to its own file Signed-off-by: David Shah --- ecp5/pack.cc | 40 +++++++++++++++++++--------------------- 1 file changed, 19 insertions(+), 21 deletions(-) (limited to 'ecp5') diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 694fd4d9..e2bc3875 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -18,13 +18,13 @@ */ #include +#include #include #include #include "cells.h" #include "design_utils.h" #include "log.h" #include "util.h" -#include NEXTPNR_NAMESPACE_BEGIN static bool is_nextpnr_iob(Context *ctx, CellInfo *cell) @@ -313,9 +313,9 @@ class Ecp5Packer } // Create a feed in to the carry chain - CellInfo *make_carry_feed_in(NetInfo *carry, PortRef chain_in) { - std::unique_ptr feedin = - create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE")); + CellInfo *make_carry_feed_in(NetInfo *carry, PortRef chain_in) + { + std::unique_ptr feedin = create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE")); feedin->params[ctx->id("MODE")] = "CCU2"; feedin->params[ctx->id("LUT0_INITVAL")] = "10"; // LUT4 = 0; LUT2 = A @@ -323,9 +323,11 @@ class Ecp5Packer feedin->params[ctx->id("INJECT1_0")] = "NO"; feedin->params[ctx->id("INJECT1_1")] = "YES"; - carry->users.erase(std::remove_if(carry->users.begin(), carry->users.end(), [chain_in](const PortRef &user) { - return user.port == chain_in.port && user.cell == chain_in.cell; - }), carry->users.end()); + carry->users.erase(std::remove_if(carry->users.begin(), carry->users.end(), + [chain_in](const PortRef &user) { + return user.port == chain_in.port && user.cell == chain_in.cell; + }), + carry->users.end()); connect_port(ctx, carry, feedin.get(), id_A0); std::unique_ptr new_carry(new NetInfo()); @@ -341,9 +343,9 @@ class Ecp5Packer } // Create a feed out and loop through from the carry chain - CellInfo *make_carry_feed_out(NetInfo *carry, boost::optional chain_next) { - std::unique_ptr feedout = - create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE")); + CellInfo *make_carry_feed_out(NetInfo *carry, boost::optional chain_next) + { + std::unique_ptr feedout = create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE")); feedout->params[ctx->id("MODE")] = "CCU2"; feedout->params[ctx->id("LUT0_INITVAL")] = "0"; feedout->params[ctx->id("LUT1_INITVAL")] = "10"; // LUT4 = 0; LUT2 = A @@ -364,9 +366,11 @@ class Ecp5Packer // Loop back into LUT4_1 for feedthrough connect_port(ctx, carry, feedout.get(), id_A1); - carry->users.erase(std::remove_if(carry->users.begin(), carry->users.end(), [chain_next](const PortRef &user) { - return user.port == chain_next->port && user.cell == chain_next->cell; - }), carry->users.end()); + carry->users.erase(std::remove_if(carry->users.begin(), carry->users.end(), + [chain_next](const PortRef &user) { + return user.port == chain_next->port && user.cell == chain_next->cell; + }), + carry->users.end()); std::unique_ptr new_cout(new NetInfo()); new_cout->name = ctx->id(feedout->name.str(ctx) + "$FCO"); @@ -376,8 +380,7 @@ class Ecp5Packer IdString new_cout_name = new_cout->name; ctx->nets[new_cout_name] = std::move(new_cout); - - } + } CellInfo *feedout_ptr = feedout.get(); new_cells.push_back(std::move(feedout)); @@ -386,15 +389,10 @@ class Ecp5Packer ctx->nets[new_cin_name] = std::move(new_cin); return feedout_ptr; - } // Pack carries and set up appropriate relative constraints - void pack_carries() - { - log_info("Packing carries...\n"); - - } + void pack_carries() { log_info("Packing carries...\n"); } // Pack LUTs that have been paired together void pack_lut_pairs() -- cgit v1.2.3 From 2628344298da8b86fd55a107cd3f0543dd5bfbc1 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 16:58:02 +0100 Subject: ecp5: Support code for carry chain handling Signed-off-by: David Shah --- ecp5/cells.cc | 22 ++++++++++++ ecp5/pack.cc | 112 +++++++++++++++++++++++++++++++++++++++++++++++++--------- 2 files changed, 118 insertions(+), 16 deletions(-) (limited to 'ecp5') diff --git a/ecp5/cells.cc b/ecp5/cells.cc index c7afdbc2..4465d4e4 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -124,6 +124,28 @@ std::unique_ptr create_ecp5_cell(Context *ctx, IdString type, std::str add_port(ctx, new_cell.get(), "C", PORT_IN); add_port(ctx, new_cell.get(), "D", PORT_IN); add_port(ctx, new_cell.get(), "Z", PORT_OUT); + } else if (type == ctx->id("CCU2C")) { + new_cell->params[ctx->id("INIT0")] = "0"; + new_cell->params[ctx->id("INIT1")] = "0"; + new_cell->params[ctx->id("INJECT1_0")] = "YES"; + new_cell->params[ctx->id("INJECT1_1")] = "YES"; + + add_port(ctx, new_cell.get(), "CIN", PORT_IN); + + add_port(ctx, new_cell.get(), "A0", PORT_IN); + add_port(ctx, new_cell.get(), "B0", PORT_IN); + add_port(ctx, new_cell.get(), "C0", PORT_IN); + add_port(ctx, new_cell.get(), "D0", PORT_IN); + + add_port(ctx, new_cell.get(), "A1", PORT_IN); + add_port(ctx, new_cell.get(), "B1", PORT_IN); + add_port(ctx, new_cell.get(), "C1", PORT_IN); + add_port(ctx, new_cell.get(), "D1", PORT_IN); + + add_port(ctx, new_cell.get(), "S0", PORT_OUT); + add_port(ctx, new_cell.get(), "S1", PORT_OUT); + add_port(ctx, new_cell.get(), "COUT", PORT_OUT); + } else if (type == ctx->id("DCCA")) { add_port(ctx, new_cell.get(), "CLKI", PORT_IN); add_port(ctx, new_cell.get(), "CLKO", PORT_OUT); diff --git a/ecp5/pack.cc b/ecp5/pack.cc index e2bc3875..d2c0085b 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -22,6 +22,7 @@ #include #include #include "cells.h" +#include "chain_utils.h" #include "design_utils.h" #include "log.h" #include "util.h" @@ -106,6 +107,26 @@ class Ecp5Packer return true; } + // Return whether or not an FF can be added to a tile (pairing checks must also be done using the fn above) + bool can_add_ff_to_file(const std::vector &tile_ffs, CellInfo *ff0) { + for (const auto &existing : tile_ffs) { + if (net_or_nullptr(existing, ctx->id("CLK")) != net_or_nullptr(ff0, ctx->id("CLK"))) + return false; + if (net_or_nullptr(existing, ctx->id("LSR")) != net_or_nullptr(ff0, ctx->id("LSR"))) + return false; + if (str_or_default(existing->params, ctx->id("CLKMUX"), "CLK") != + str_or_default(ff0->params, ctx->id("CLKMUX"), "CLK")) + return false; + if (str_or_default(existing->params, ctx->id("LSRMUX"), "LSR") != + str_or_default(ff0->params, ctx->id("LSRMUX"), "LSR")) + return false; + if (str_or_default(existing->params, ctx->id("LSRMUX"), "LSR") != + str_or_default(ff0->params, ctx->id("LSRMUX"), "LSR")) + return false; + } + return true; + } + // Return true if two LUTs can be paired considering FF compatibility bool can_pack_lutff(IdString lut0, IdString lut1) { @@ -315,11 +336,10 @@ class Ecp5Packer // Create a feed in to the carry chain CellInfo *make_carry_feed_in(NetInfo *carry, PortRef chain_in) { - std::unique_ptr feedin = create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE")); + std::unique_ptr feedin = create_ecp5_cell(ctx, ctx->id("CCU2C")); - feedin->params[ctx->id("MODE")] = "CCU2"; - feedin->params[ctx->id("LUT0_INITVAL")] = "10"; // LUT4 = 0; LUT2 = A - feedin->params[ctx->id("LUT1_INITVAL")] = "65535"; + feedin->params[ctx->id("INIT0")] = "10"; // LUT4 = 0; LUT2 = A + feedin->params[ctx->id("INIT1")] = "65535"; feedin->params[ctx->id("INJECT1_0")] = "NO"; feedin->params[ctx->id("INJECT1_1")] = "YES"; @@ -331,8 +351,8 @@ class Ecp5Packer connect_port(ctx, carry, feedin.get(), id_A0); std::unique_ptr new_carry(new NetInfo()); - new_carry->name = ctx->id(feedin->name.str(ctx) + "$FCO"); - connect_port(ctx, new_carry.get(), feedin.get(), id_FCO); + new_carry->name = ctx->id(feedin->name.str(ctx) + "$COUT"); + connect_port(ctx, new_carry.get(), feedin.get(), ctx->id("COUT")); connect_port(ctx, new_carry.get(), chain_in.cell, chain_in.port); CellInfo *feedin_ptr = feedin.get(); @@ -343,24 +363,23 @@ class Ecp5Packer } // Create a feed out and loop through from the carry chain - CellInfo *make_carry_feed_out(NetInfo *carry, boost::optional chain_next) + CellInfo *make_carry_feed_out(NetInfo *carry, boost::optional chain_next = boost::optional()) { - std::unique_ptr feedout = create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE")); - feedout->params[ctx->id("MODE")] = "CCU2"; - feedout->params[ctx->id("LUT0_INITVAL")] = "0"; - feedout->params[ctx->id("LUT1_INITVAL")] = "10"; // LUT4 = 0; LUT2 = A + std::unique_ptr feedout = create_ecp5_cell(ctx, ctx->id("CCU2C")); + feedout->params[ctx->id("INIT0")] = "0"; + feedout->params[ctx->id("INIT1")] = "10"; // LUT4 = 0; LUT2 = A feedout->params[ctx->id("INJECT1_0")] = "YES"; feedout->params[ctx->id("INJECT1_1")] = "NO"; PortRef carry_drv = carry->driver; carry->driver.cell = nullptr; - connect_port(ctx, carry, feedout.get(), id_F0); + connect_port(ctx, carry, feedout.get(), ctx->id("S0")); std::unique_ptr new_cin(new NetInfo()); - new_cin->name = ctx->id(feedout->name.str(ctx) + "$FCI"); + new_cin->name = ctx->id(feedout->name.str(ctx) + "$CIN"); new_cin->driver = carry_drv; carry_drv.cell->ports.at(carry_drv.port).net = new_cin.get(); - connect_port(ctx, new_cin.get(), feedout.get(), id_FCI); + connect_port(ctx, new_cin.get(), feedout.get(), ctx->id("CIN")); if (chain_next) { // Loop back into LUT4_1 for feedthrough @@ -373,7 +392,8 @@ class Ecp5Packer carry->users.end()); std::unique_ptr new_cout(new NetInfo()); - new_cout->name = ctx->id(feedout->name.str(ctx) + "$FCO"); + new_cout->name = ctx->id(feedout->name.str(ctx) + "$COUT"); + connect_port(ctx, new_cout.get(), feedout.get(), ctx->id("COUT")); chain_next->cell->ports[chain_next->port].net = nullptr; connect_port(ctx, new_cout.get(), chain_next->cell, chain_next->port); @@ -391,8 +411,68 @@ class Ecp5Packer return feedout_ptr; } + // Split a carry chain into multiple legal chains + std::vector split_carry_chain(CellChain &carryc) + { + bool start_of_chain = true; + std::vector chains; + const int max_length = (ctx->chip_info->width - 4) * 4 - 2; + auto curr_cell = carryc.cells.begin(); + while (curr_cell != carryc.cells.end()) { + CellInfo *cell = *curr_cell; + if (start_of_chain) { + chains.emplace_back(); + start_of_chain = false; + if (cell->ports.at(ctx->id("CIN")).net) { + // CIN is not constant and not part of a chain. Must feed in from fabric + PortRef inport; + inport.cell = cell; + inport.port = ctx->id("CIN"); + CellInfo *feedin = make_carry_feed_in(cell->ports.at(ctx->id("CIN")).net, inport); + chains.back().cells.push_back(feedin); + } + } + chains.back().cells.push_back(cell); + bool split_chain = int(chains.back().cells.size()) > max_length; + if (split_chain) { + CellInfo *passout = make_carry_feed_out(cell->ports.at(ctx->id("COUT")).net); + chains.back().cells.back() = passout; + start_of_chain = true; + } else { + NetInfo *carry_net = cell->ports.at(ctx->id("COUT")).net; + bool at_end = (curr_cell == carryc.cells.end() - 1); + if (carry_net != nullptr && (carry_net->users.size() > 1 || at_end)) { + boost::optional nextport; + if (!at_end) { + auto next_cell = *(curr_cell + 1); + PortRef nextpr; + nextpr.cell = next_cell; + nextpr.port = ctx->id("CIN"); + nextport = nextpr; + } + CellInfo *passout = make_carry_feed_out(cell->ports.at(ctx->id("COUT")).net, nextport); + chains.back().cells.push_back(passout); + } + ++curr_cell; + } + } + return chains; + } + + // Pack carries and set up appropriate relative constraints - void pack_carries() { log_info("Packing carries...\n"); } + void pack_carries() { + log_info("Packing carries...\n"); + auto chains = find_chains(ctx, [](const Context *ctx, const CellInfo *cell) { + return is_carry(ctx, cell); + }, [](const Context *ctx, const CellInfo *cell) { + return net_driven_by(ctx, cell->ports.at(ctx->id("CIN")).net, is_carry, ctx->id("COUT")); + }, [](const Context *ctx, const CellInfo *cell) { + return net_only_drives(ctx, cell->ports.at(ctx->id("COUT")).net, is_carry, + ctx->id("CIN"), false); + }, 1); + + } // Pack LUTs that have been paired together void pack_lut_pairs() -- cgit v1.2.3 From e81a95cf7e7c58f3a5ad5e3e9414b640e7a82232 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 17:05:42 +0100 Subject: ecp5: Add ccu2c_to_slice Signed-off-by: David Shah --- ecp5/cells.cc | 27 +++++++++++++++++++++++++++ ecp5/cells.h | 1 + ecp5/pack.cc | 25 +++++++++++++------------ 3 files changed, 41 insertions(+), 12 deletions(-) (limited to 'ecp5') diff --git a/ecp5/cells.cc b/ecp5/cells.cc index 4465d4e4..4a83bb30 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -211,4 +211,31 @@ void lut_to_slice(Context *ctx, CellInfo *lut, CellInfo *lc, int index) replace_port(lut, ctx->id("Z"), lc, ctx->id("F" + std::to_string(index))); } +void ccu2c_to_slice(Context *ctx, CellInfo *ccu, CellInfo *lc) +{ + lc->params[ctx->id("MODE")] = "CCU2C"; + lc->params[ctx->id("LUT0_INITVAL")] = str_or_default(ccu->params, ctx->id("INIT0"), "0"); + lc->params[ctx->id("LUT1_INITVAL")] = str_or_default(ccu->params, ctx->id("INIT1"), "0"); + + lc->params[ctx->id("INJECT1_0")] = str_or_default(ccu->params, ctx->id("INJECT1_0"), "YES"); + lc->params[ctx->id("INJECT1_1")] = str_or_default(ccu->params, ctx->id("INJECT1_1"), "YES"); + + replace_port(ccu, ctx->id("CIN"), lc, ctx->id("FCI")); + + replace_port(ccu, ctx->id("A0"), lc, ctx->id("A0")); + replace_port(ccu, ctx->id("B0"), lc, ctx->id("B0")); + replace_port(ccu, ctx->id("C0"), lc, ctx->id("C0")); + replace_port(ccu, ctx->id("D0"), lc, ctx->id("D0")); + + replace_port(ccu, ctx->id("A1"), lc, ctx->id("A1")); + replace_port(ccu, ctx->id("B1"), lc, ctx->id("B1")); + replace_port(ccu, ctx->id("C1"), lc, ctx->id("C1")); + replace_port(ccu, ctx->id("D1"), lc, ctx->id("D1")); + + replace_port(ccu, ctx->id("S0"), lc, ctx->id("F0")); + replace_port(ccu, ctx->id("S1"), lc, ctx->id("F1")); + + replace_port(ccu, ctx->id("COUT"), lc, ctx->id("FCO")); +} + NEXTPNR_NAMESPACE_END diff --git a/ecp5/cells.h b/ecp5/cells.h index b0c74ca9..d2ea5490 100644 --- a/ecp5/cells.h +++ b/ecp5/cells.h @@ -48,6 +48,7 @@ inline bool is_l6mux(const BaseCtx *ctx, const CellInfo *cell) { return cell->ty void ff_to_slice(Context *ctx, CellInfo *ff, CellInfo *lc, int index, bool driven_by_lut); void lut_to_slice(Context *ctx, CellInfo *lut, CellInfo *lc, int index); +void ccu2c_to_slice(Context *ctx, CellInfo *ccu, CellInfo *lc); NEXTPNR_NAMESPACE_END diff --git a/ecp5/pack.cc b/ecp5/pack.cc index d2c0085b..b17f8f20 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -108,7 +108,8 @@ class Ecp5Packer } // Return whether or not an FF can be added to a tile (pairing checks must also be done using the fn above) - bool can_add_ff_to_file(const std::vector &tile_ffs, CellInfo *ff0) { + bool can_add_ff_to_file(const std::vector &tile_ffs, CellInfo *ff0) + { for (const auto &existing : tile_ffs) { if (net_or_nullptr(existing, ctx->id("CLK")) != net_or_nullptr(ff0, ctx->id("CLK"))) return false; @@ -459,19 +460,19 @@ class Ecp5Packer return chains; } - // Pack carries and set up appropriate relative constraints - void pack_carries() { + void pack_carries() + { log_info("Packing carries...\n"); - auto chains = find_chains(ctx, [](const Context *ctx, const CellInfo *cell) { - return is_carry(ctx, cell); - }, [](const Context *ctx, const CellInfo *cell) { - return net_driven_by(ctx, cell->ports.at(ctx->id("CIN")).net, is_carry, ctx->id("COUT")); - }, [](const Context *ctx, const CellInfo *cell) { - return net_only_drives(ctx, cell->ports.at(ctx->id("COUT")).net, is_carry, - ctx->id("CIN"), false); - }, 1); - + auto chains = find_chains( + ctx, [](const Context *ctx, const CellInfo *cell) { return is_carry(ctx, cell); }, + [](const Context *ctx, const CellInfo *cell) { + return net_driven_by(ctx, cell->ports.at(ctx->id("CIN")).net, is_carry, ctx->id("COUT")); + }, + [](const Context *ctx, const CellInfo *cell) { + return net_only_drives(ctx, cell->ports.at(ctx->id("COUT")).net, is_carry, ctx->id("CIN"), false); + }, + 1); } // Pack LUTs that have been paired together -- cgit v1.2.3 From fef29d87626d9030f54afafa92db744ea9f21741 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 17:18:30 +0100 Subject: ecp5: First stages of carry packing Signed-off-by: David Shah --- ecp5/pack.cc | 66 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++--- 1 file changed, 63 insertions(+), 3 deletions(-) (limited to 'ecp5') diff --git a/ecp5/pack.cc b/ecp5/pack.cc index b17f8f20..7a7e0dda 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -357,7 +357,8 @@ class Ecp5Packer connect_port(ctx, new_carry.get(), chain_in.cell, chain_in.port); CellInfo *feedin_ptr = feedin.get(); - new_cells.push_back(std::move(feedin)); + IdString feedin_name = feedin->name; + ctx->cells[feedin_name] = std::move(feedin); IdString new_carry_name = new_carry->name; ctx->nets[new_carry_name] = std::move(new_carry); return feedin_ptr; @@ -404,7 +405,8 @@ class Ecp5Packer } CellInfo *feedout_ptr = feedout.get(); - new_cells.push_back(std::move(feedout)); + IdString feedout_name = feedout->name; + ctx->cells[feedout_name] = std::move(feedout); IdString new_cin_name = new_cin->name; ctx->nets[new_cin_name] = std::move(new_cin); @@ -464,7 +466,8 @@ class Ecp5Packer void pack_carries() { log_info("Packing carries...\n"); - auto chains = find_chains( + // Find all chains (including single carry cells) + auto carry_chains = find_chains( ctx, [](const Context *ctx, const CellInfo *cell) { return is_carry(ctx, cell); }, [](const Context *ctx, const CellInfo *cell) { return net_driven_by(ctx, cell->ports.at(ctx->id("CIN")).net, is_carry, ctx->id("COUT")); @@ -473,6 +476,63 @@ class Ecp5Packer return net_only_drives(ctx, cell->ports.at(ctx->id("COUT")).net, is_carry, ctx->id("CIN"), false); }, 1); + std::vector all_chains; + + // Chain splitting + for (auto &base_chain : carry_chains) { + if (ctx->verbose) { + log_info("Found carry chain: \n"); + for (auto entry : base_chain.cells) + log_info(" %s\n", entry->name.c_str(ctx)); + log_info("\n"); + } + std::vector split_chains = split_carry_chain(base_chain); + for (auto &chain : split_chains) { + all_chains.push_back(chain); + } + } + + // Chain packing + for (auto &chain : all_chains) { + int cell_count = 0; + std::vector tile_ffs; + for (auto &cell : chain.cells) { + if (cell_count % 4 == 0) + tile_ffs.clear(); + std::unique_ptr slice = + create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE"), cell->name.str(ctx) + "$CCU2_SLICE"); + + ccu2c_to_slice(ctx, cell, slice.get()); + + CellInfo *ff0 = nullptr; + NetInfo *f0net = slice->ports.at(ctx->id("F0")).net; + if (f0net != nullptr) { + ff0 = net_only_drives(ctx, f0net, is_ff, ctx->id("DI"), false); + if (ff0 != nullptr && can_add_ff_to_file(tile_ffs, ff0)) { + ff_to_slice(ctx, ff0, slice.get(), 0, true); + tile_ffs.push_back(ff0); + packed_cells.insert(ff0->name); + } + } + + CellInfo *ff1 = nullptr; + NetInfo *f1net = slice->ports.at(ctx->id("F1")).net; + if (f1net != nullptr) { + ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); + if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && + can_add_ff_to_file(tile_ffs, ff1)) { + ff_to_slice(ctx, ff1, slice.get(), 1, true); + tile_ffs.push_back(ff1); + packed_cells.insert(ff1->name); + } + } + + new_cells.push_back(std::move(slice)); + packed_cells.insert(cell->name); + cell_count++; + } + } + flush_cells(); } // Pack LUTs that have been paired together -- cgit v1.2.3 From 9218d2e56b5d06a57f80e7270b1538d134f8a6fa Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 17:42:47 +0100 Subject: ecp5: Relative placement and bitstream gen for carries Signed-off-by: David Shah --- ecp5/bitstream.cc | 17 ++++++++++++++++- ecp5/cells.cc | 2 +- ecp5/pack.cc | 20 ++++++++++++++++++++ 3 files changed, 37 insertions(+), 2 deletions(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index f04b1269..5e851fbf 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -256,7 +256,22 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex cc.tiles[tname].add_enum("LSR1.SRMODE", str_or_default(ci->params, ctx->id("SRMODE"), "LSR_OVER_CE")); cc.tiles[tname].add_enum("LSR1.LSRMUX", str_or_default(ci->params, ctx->id("LSRMUX"), "LSR")); } - // TODO: CLKMUX, CEMUX, carry + + if (str_or_default(ci->params, ctx->id("MODE"), "LOGIC") == "CCU2") { + cc.tiles[tname].add_enum(slice + ".CCU2.INJECT1_0", + str_or_default(ci->params, ctx->id("INJECT1_0"), "YES")); + cc.tiles[tname].add_enum(slice + ".CCU2.INJECT1_1", + str_or_default(ci->params, ctx->id("INJECT1_1"), "YES")); + } + + // Tie unused inputs high + for (auto input : {id_A0, id_B0, id_C0, id_D0, id_A1, id_B1, id_C1, id_D1}) { + if (ci->ports.find(input) == ci->ports.end() || ci->ports.at(input).net == nullptr) { + cc.tiles[tname].add_enum(slice + "." + input.str(ctx) + "MUX", "1"); + } + } + + // TODO: CLKMUX } else if (ci->type == ctx->id("TRELLIS_IO")) { std::string pio = ctx->locInfo(bel)->bel_data[bel.index].name.get(); std::string iotype = str_or_default(ci->attrs, ctx->id("IO_TYPE"), "LVCMOS33"); diff --git a/ecp5/cells.cc b/ecp5/cells.cc index 4a83bb30..7e101ab2 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -213,7 +213,7 @@ void lut_to_slice(Context *ctx, CellInfo *lut, CellInfo *lc, int index) void ccu2c_to_slice(Context *ctx, CellInfo *ccu, CellInfo *lc) { - lc->params[ctx->id("MODE")] = "CCU2C"; + lc->params[ctx->id("MODE")] = "CCU2"; lc->params[ctx->id("LUT0_INITVAL")] = str_or_default(ccu->params, ctx->id("INIT0"), "0"); lc->params[ctx->id("LUT1_INITVAL")] = str_or_default(ccu->params, ctx->id("INIT1"), "0"); diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 7a7e0dda..2f84cd02 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -532,6 +532,21 @@ class Ecp5Packer cell_count++; } } + + // Relative chain placement + for (auto &chain : all_chains) { + chain.cells.at(0)->constr_abs_z = true; + chain.cells.at(0)->constr_z = 0; + for (int i = 1; i < int(chain.cells.size()); i++) { + chain.cells.at(i)->constr_x = (i / 4); + chain.cells.at(i)->constr_y = 0; + chain.cells.at(i)->constr_z = i % 4; + chain.cells.at(i)->constr_abs_z = true; + chain.cells.at(i)->constr_parent = chain.cells.at(0); + chain.cells.at(0)->constr_children.push_back(chain.cells.at(i)); + } + } + flush_cells(); } @@ -650,6 +665,10 @@ class Ecp5Packer } else if (is_ff(ctx, uc) && user.port == ctx->id("CE")) { uc->params[ctx->id("CEMUX")] = constval ? "1" : "0"; uc->ports[user.port].net = nullptr; + } else if (is_carry(ctx, uc) && constval && + (user.port == id_A0 || user.port == id_A1 || user.port == id_B0 || user.port == id_B1 || + user.port == id_C0 || user.port == id_C1 || user.port == id_D0 || user.port == id_D1)) { + uc->ports[user.port].net = nullptr; } else if (is_ff(ctx, uc) && user.port == ctx->id("LSR") && ((!constval && str_or_default(uc->params, ctx->id("LSRMUX"), "LSR") == "LSR") || (constval && str_or_default(uc->params, ctx->id("LSRMUX"), "LSR") == "INV"))) { @@ -724,6 +743,7 @@ class Ecp5Packer { pack_io(); pack_constants(); + pack_carries(); find_lutff_pairs(); pack_lut5s(); pair_luts(); -- cgit v1.2.3 From 3e399c9f20f4caaab6cdad41cf4b66994be3d966 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 18:10:20 +0100 Subject: ecp5: Carry packing fixes Signed-off-by: David Shah --- ecp5/pack.cc | 31 ++++++++++++++++++------------- 1 file changed, 18 insertions(+), 13 deletions(-) (limited to 'ecp5') diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 2f84cd02..ecd2a058 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -121,8 +121,8 @@ class Ecp5Packer if (str_or_default(existing->params, ctx->id("LSRMUX"), "LSR") != str_or_default(ff0->params, ctx->id("LSRMUX"), "LSR")) return false; - if (str_or_default(existing->params, ctx->id("LSRMUX"), "LSR") != - str_or_default(ff0->params, ctx->id("LSRMUX"), "LSR")) + if (str_or_default(existing->params, ctx->id("SRMODE"), "LSR_OVER_CE") != + str_or_default(ff0->params, ctx->id("SRMODE"), "LSR_OVER_CE")) return false; } return true; @@ -354,6 +354,7 @@ class Ecp5Packer std::unique_ptr new_carry(new NetInfo()); new_carry->name = ctx->id(feedin->name.str(ctx) + "$COUT"); connect_port(ctx, new_carry.get(), feedin.get(), ctx->id("COUT")); + chain_in.cell->ports[chain_in.port].net = nullptr; connect_port(ctx, new_carry.get(), chain_in.cell, chain_in.port); CellInfo *feedin_ptr = feedin.get(); @@ -492,10 +493,13 @@ class Ecp5Packer } } + std::vector> packed_chains; + // Chain packing for (auto &chain : all_chains) { int cell_count = 0; std::vector tile_ffs; + std::vector packed_chain; for (auto &cell : chain.cells) { if (cell_count % 4 == 0) tile_ffs.clear(); @@ -526,24 +530,25 @@ class Ecp5Packer packed_cells.insert(ff1->name); } } - + packed_chain.push_back(slice.get()); new_cells.push_back(std::move(slice)); packed_cells.insert(cell->name); cell_count++; } + packed_chains.push_back(packed_chain); } // Relative chain placement - for (auto &chain : all_chains) { - chain.cells.at(0)->constr_abs_z = true; - chain.cells.at(0)->constr_z = 0; - for (int i = 1; i < int(chain.cells.size()); i++) { - chain.cells.at(i)->constr_x = (i / 4); - chain.cells.at(i)->constr_y = 0; - chain.cells.at(i)->constr_z = i % 4; - chain.cells.at(i)->constr_abs_z = true; - chain.cells.at(i)->constr_parent = chain.cells.at(0); - chain.cells.at(0)->constr_children.push_back(chain.cells.at(i)); + for (auto &chain : packed_chains) { + chain.at(0)->constr_abs_z = true; + chain.at(0)->constr_z = 0; + for (int i = 1; i < int(chain.size()); i++) { + chain.at(i)->constr_x = (i / 4); + chain.at(i)->constr_y = 0; + chain.at(i)->constr_z = i % 4; + chain.at(i)->constr_abs_z = true; + chain.at(i)->constr_parent = chain.at(0); + chain.at(0)->constr_children.push_back(chain.at(i)); } } -- cgit v1.2.3 From 6a1b49c3117da76c752d1d4e2fd1c1ed7eb94698 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 18:39:49 +0100 Subject: ecp5: Improve mixed no-FF/FF placement Signed-off-by: David Shah --- ecp5/arch_place.cc | 38 ++++++++++++++++++++------------------ ecp5/archdefs.h | 1 + ecp5/bitstream.cc | 27 ++++++++++++++++----------- ecp5/pack.cc | 9 ++++++++- 4 files changed, 45 insertions(+), 30 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch_place.cc b/ecp5/arch_place.cc index 55fff73d..6fcd8bde 100644 --- a/ecp5/arch_place.cc +++ b/ecp5/arch_place.cc @@ -39,25 +39,27 @@ bool Arch::slicesCompatible(const std::vector &cells) const IdString CLKMUX, LSRMUX, SRMODE; bool first = true; for (auto cell : cells) { - if (first) { - clk_sig = cell->sliceInfo.clk_sig; - lsr_sig = cell->sliceInfo.lsr_sig; - CLKMUX = cell->sliceInfo.clkmux; - LSRMUX = cell->sliceInfo.lsrmux; - SRMODE = cell->sliceInfo.srmode; - } else { - if (cell->sliceInfo.clk_sig != clk_sig) - return false; - if (cell->sliceInfo.lsr_sig != lsr_sig) - return false; - if (cell->sliceInfo.clkmux != CLKMUX) - return false; - if (cell->sliceInfo.lsrmux != LSRMUX) - return false; - if (cell->sliceInfo.srmode != SRMODE) - return false; + if (cell->sliceInfo.using_dff) { + if (first) { + clk_sig = cell->sliceInfo.clk_sig; + lsr_sig = cell->sliceInfo.lsr_sig; + CLKMUX = cell->sliceInfo.clkmux; + LSRMUX = cell->sliceInfo.lsrmux; + SRMODE = cell->sliceInfo.srmode; + } else { + if (cell->sliceInfo.clk_sig != clk_sig) + return false; + if (cell->sliceInfo.lsr_sig != lsr_sig) + return false; + if (cell->sliceInfo.clkmux != CLKMUX) + return false; + if (cell->sliceInfo.lsrmux != LSRMUX) + return false; + if (cell->sliceInfo.srmode != SRMODE) + return false; + } + first = false; } - first = false; } return true; } diff --git a/ecp5/archdefs.h b/ecp5/archdefs.h index b5cdea3c..b85852c2 100644 --- a/ecp5/archdefs.h +++ b/ecp5/archdefs.h @@ -143,6 +143,7 @@ struct ArchCellInfo { struct { + bool using_dff; IdString clk_sig, lsr_sig, clkmux, lsrmux, srmode; } sliceInfo; }; diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 5e851fbf..bfd51666 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -244,17 +244,22 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex cc.tiles[tname].add_enum(slice + ".REG1.REGSET", str_or_default(ci->params, ctx->id("REG1_REGSET"), "RESET")); cc.tiles[tname].add_enum(slice + ".CEMUX", str_or_default(ci->params, ctx->id("CEMUX"), "1")); - NetInfo *lsrnet = nullptr; - if (ci->ports.find(ctx->id("LSR")) != ci->ports.end() && ci->ports.at(ctx->id("LSR")).net != nullptr) - lsrnet = ci->ports.at(ctx->id("LSR")).net; - if (ctx->getBoundWireNet(ctx->getWireByName( - ctx->id(fmt_str("X" << bel.location.x << "/Y" << bel.location.y << "/LSR0")))) == lsrnet) { - cc.tiles[tname].add_enum("LSR0.SRMODE", str_or_default(ci->params, ctx->id("SRMODE"), "LSR_OVER_CE")); - cc.tiles[tname].add_enum("LSR0.LSRMUX", str_or_default(ci->params, ctx->id("LSRMUX"), "LSR")); - } else if (ctx->getBoundWireNet(ctx->getWireByName(ctx->id( - fmt_str("X" << bel.location.x << "/Y" << bel.location.y << "/LSR1")))) == lsrnet) { - cc.tiles[tname].add_enum("LSR1.SRMODE", str_or_default(ci->params, ctx->id("SRMODE"), "LSR_OVER_CE")); - cc.tiles[tname].add_enum("LSR1.LSRMUX", str_or_default(ci->params, ctx->id("LSRMUX"), "LSR")); + + if (ci->sliceInfo.using_dff) { + NetInfo *lsrnet = nullptr; + if (ci->ports.find(ctx->id("LSR")) != ci->ports.end() && ci->ports.at(ctx->id("LSR")).net != nullptr) + lsrnet = ci->ports.at(ctx->id("LSR")).net; + if (ctx->getBoundWireNet(ctx->getWireByName( + ctx->id(fmt_str("X" << bel.location.x << "/Y" << bel.location.y << "/LSR0")))) == lsrnet) { + cc.tiles[tname].add_enum("LSR0.SRMODE", + str_or_default(ci->params, ctx->id("SRMODE"), "LSR_OVER_CE")); + cc.tiles[tname].add_enum("LSR0.LSRMUX", str_or_default(ci->params, ctx->id("LSRMUX"), "LSR")); + } else if (ctx->getBoundWireNet(ctx->getWireByName(ctx->id( + fmt_str("X" << bel.location.x << "/Y" << bel.location.y << "/LSR1")))) == lsrnet) { + cc.tiles[tname].add_enum("LSR1.SRMODE", + str_or_default(ci->params, ctx->id("SRMODE"), "LSR_OVER_CE")); + cc.tiles[tname].add_enum("LSR1.LSRMUX", str_or_default(ci->params, ctx->id("LSRMUX"), "LSR")); + } } if (str_or_default(ci->params, ctx->id("MODE"), "LOGIC") == "CCU2") { diff --git a/ecp5/pack.cc b/ecp5/pack.cc index ecd2a058..23fd8f38 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -493,7 +493,7 @@ class Ecp5Packer } } - std::vector> packed_chains; + std::vector> packed_chains; // Chain packing for (auto &chain : all_chains) { @@ -797,6 +797,13 @@ void Arch::assignArchInfo() for (auto cell : sorted(cells)) { CellInfo *ci = cell.second; if (ci->type == id_TRELLIS_SLICE) { + + ci->sliceInfo.using_dff = false; + if (ci->ports.count(id_Q0) && ci->ports[id_Q0].net != nullptr) + ci->sliceInfo.using_dff = true; + if (ci->ports.count(id_Q1) && ci->ports[id_Q1].net != nullptr) + ci->sliceInfo.using_dff = true; + if (ci->ports.count(id_CLK) && ci->ports[id_CLK].net != nullptr) ci->sliceInfo.clk_sig = ci->ports[id_CLK].net->name; else -- cgit v1.2.3 From e7c881842470b8b94dd16e95db3015413cd499c3 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 30 Sep 2018 19:27:06 +0100 Subject: ecp5: Fix carry feed out Signed-off-by: David Shah --- ecp5/pack.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 23fd8f38..d8db3b93 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -371,7 +371,7 @@ class Ecp5Packer std::unique_ptr feedout = create_ecp5_cell(ctx, ctx->id("CCU2C")); feedout->params[ctx->id("INIT0")] = "0"; feedout->params[ctx->id("INIT1")] = "10"; // LUT4 = 0; LUT2 = A - feedout->params[ctx->id("INJECT1_0")] = "YES"; + feedout->params[ctx->id("INJECT1_0")] = "NO"; feedout->params[ctx->id("INJECT1_1")] = "NO"; PortRef carry_drv = carry->driver; -- cgit v1.2.3 From 931c78b1bbb6acbc4f9c8058ed450bf9464cb603 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 14:42:19 +0100 Subject: ecp5: Improve handling of constant CCU2C inputs Signed-off-by: David Shah --- ecp5/pack.cc | 74 ++++++++++++++++++++++++++++++++++++++++++++++++++++-------- 1 file changed, 65 insertions(+), 9 deletions(-) (limited to 'ecp5') diff --git a/ecp5/pack.cc b/ecp5/pack.cc index d8db3b93..14b387d5 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -637,14 +637,12 @@ class Ecp5Packer flush_cells(); } - void set_lut_input_constant(CellInfo *cell, IdString input, bool value) + int make_init_with_const_input(int init, int input, bool value) { - int index = std::string("ABCD").find(input.str(ctx)); - int init = int_or_default(cell->params, ctx->id("INIT")); int new_init = 0; for (int i = 0; i < 16; i++) { - if (((i >> index) & 0x1) != value) { - int other_i = (i & (~(1 << index))) | (value << index); + if (((i >> input) & 0x1) != value) { + int other_i = (i & (~(1 << input))) | (value << input); if ((init >> other_i) & 0x1) new_init |= (1 << i); } else { @@ -652,10 +650,41 @@ class Ecp5Packer new_init |= (1 << i); } } + return new_init; + } + + void set_lut_input_constant(CellInfo *cell, IdString input, bool value) + { + int index = std::string("ABCD").find(input.str(ctx)); + int init = int_or_default(cell->params, ctx->id("INIT")); + int new_init = make_init_with_const_input(init, index, value); cell->params[ctx->id("INIT")] = std::to_string(new_init); cell->ports.at(input).net = nullptr; } + void set_ccu2c_input_constant(CellInfo *cell, IdString input, bool value) + { + std::string input_str = input.str(ctx); + int lut = std::stoi(input_str.substr(1)); + int index = std::string("ABCD").find(input_str[0]); + int init = int_or_default(cell->params, ctx->id("INIT" + std::to_string(lut))); + int new_init = make_init_with_const_input(init, index, value); + cell->params[ctx->id("INIT" + std::to_string(lut))] = std::to_string(new_init); + cell->ports.at(input).net = nullptr; + } + + bool is_ccu2c_port_high(CellInfo *cell, IdString input) + { + if (!cell->ports.count(input)) + return true; // disconnected port is high + if (cell->ports.at(input).net == nullptr || cell->ports.at(input).net->name == ctx->id("$PACKER_VCC_NET")) + return true; // disconnected or tied-high port + if (cell->ports.at(input).net->driver.cell != nullptr && + cell->ports.at(input).net->driver.cell->type == ctx->id("VCC")) + return true; // pre-pack high + return false; + } + // Merge a net into a constant net void set_net_constant(const Context *ctx, NetInfo *orig, NetInfo *constnet, bool constval) { @@ -670,10 +699,37 @@ class Ecp5Packer } else if (is_ff(ctx, uc) && user.port == ctx->id("CE")) { uc->params[ctx->id("CEMUX")] = constval ? "1" : "0"; uc->ports[user.port].net = nullptr; - } else if (is_carry(ctx, uc) && constval && - (user.port == id_A0 || user.port == id_A1 || user.port == id_B0 || user.port == id_B1 || - user.port == id_C0 || user.port == id_C1 || user.port == id_D0 || user.port == id_D1)) { - uc->ports[user.port].net = nullptr; + } else if (is_carry(ctx, uc)) { + if (constval && + (user.port == id_A0 || user.port == id_A1 || user.port == id_B0 || user.port == id_B1 || + user.port == id_C0 || user.port == id_C1 || user.port == id_D0 || user.port == id_D1)) { + // Input tied high, nothing special to do (bitstream gen will auto-enable tie-high) + uc->ports[user.port].net = nullptr; + } else if (!constval) { + if (user.port == id_A0 || user.port == id_A1 || user.port == id_B0 || user.port == id_B1) { + // These inputs can be switched to tie-high without consequence + set_ccu2c_input_constant(uc, user.port, constval); + } else if (user.port == id_C0 && is_ccu2c_port_high(uc, id_D0)) { + // Partner must be tied high + set_ccu2c_input_constant(uc, user.port, constval); + } else if (user.port == id_D0 && is_ccu2c_port_high(uc, id_C0)) { + // Partner must be tied high + set_ccu2c_input_constant(uc, user.port, constval); + } else if (user.port == id_C1 && is_ccu2c_port_high(uc, id_D1)) { + // Partner must be tied high + set_ccu2c_input_constant(uc, user.port, constval); + } else if (user.port == id_D1 && is_ccu2c_port_high(uc, id_C1)) { + // Partner must be tied high + set_ccu2c_input_constant(uc, user.port, constval); + } else { + // Not allowed to change to a tie-high + uc->ports[user.port].net = constnet; + constnet->users.push_back(user); + } + } else { + uc->ports[user.port].net = constnet; + constnet->users.push_back(user); + } } else if (is_ff(ctx, uc) && user.port == ctx->id("LSR") && ((!constval && str_or_default(uc->params, ctx->id("LSRMUX"), "LSR") == "LSR") || (constval && str_or_default(uc->params, ctx->id("LSRMUX"), "LSR") == "INV"))) { -- cgit v1.2.3 From d770eb672fcaf303d391d4fb22e57b13dd130ca5 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 15:23:12 +0100 Subject: ecp5: Helper functions for distributed RAM support Signed-off-by: David Shah --- ecp5/cells.cc | 45 +++++++++++++++++++++++++++++++++++++++++++++ ecp5/cells.h | 2 ++ ecp5/pack.cc | 17 +++++++++++++++++ 3 files changed, 64 insertions(+) (limited to 'ecp5') diff --git a/ecp5/cells.cc b/ecp5/cells.cc index 7e101ab2..048db1d7 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -238,4 +238,49 @@ void ccu2c_to_slice(Context *ctx, CellInfo *ccu, CellInfo *lc) replace_port(ccu, ctx->id("COUT"), lc, ctx->id("FCO")); } +void dram_to_ramw(Context *ctx, CellInfo *ram, CellInfo *lc) +{ + lc->params[ctx->id("MODE")] = "RAMW"; + replace_port(ram, ctx->id("WAD[0]"), lc, ctx->id("D0")); + replace_port(ram, ctx->id("WAD[1]"), lc, ctx->id("B0")); + replace_port(ram, ctx->id("WAD[2]"), lc, ctx->id("C0")); + replace_port(ram, ctx->id("WAD[3]"), lc, ctx->id("A0")); + + replace_port(ram, ctx->id("DI[0]"), lc, ctx->id("C1")); + replace_port(ram, ctx->id("DI[1]"), lc, ctx->id("A1")); + replace_port(ram, ctx->id("DI[2]"), lc, ctx->id("D1")); + replace_port(ram, ctx->id("DI[3]"), lc, ctx->id("B1")); +} + +void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, CellInfo *ramw, int index) +{ + lc->params[ctx->id("MODE")] = "DPRAM"; + lc->params[ctx->id("WREMUX")] = str_or_default(ram->params, ctx->id("WREMUX"), "WRE"); + lc->params[ctx->id("WCKMUX")] = str_or_default(ram->params, ctx->id("WCKMUX"), "WCK"); + + // TODO: INIT + + if (ram->ports.count(ctx->id("RAD[0]"))) { + connect_port(ctx, ram->ports.at(ctx->id("RAD[0]")).net, lc, ctx->id("D0")); + connect_port(ctx, ram->ports.at(ctx->id("RAD[0]")).net, lc, ctx->id("D1")); + } + if (ram->ports.count(ctx->id("RAD[1]"))) { + connect_port(ctx, ram->ports.at(ctx->id("RAD[1]")).net, lc, ctx->id("B0")); + connect_port(ctx, ram->ports.at(ctx->id("RAD[1]")).net, lc, ctx->id("B1")); + } + if (ram->ports.count(ctx->id("RAD[2]"))) { + connect_port(ctx, ram->ports.at(ctx->id("RAD[2]")).net, lc, ctx->id("C0")); + connect_port(ctx, ram->ports.at(ctx->id("RAD[2]")).net, lc, ctx->id("C1")); + } + if (ram->ports.count(ctx->id("RAD[3]"))) { + connect_port(ctx, ram->ports.at(ctx->id("RAD[3]")).net, lc, ctx->id("A0")); + connect_port(ctx, ram->ports.at(ctx->id("RAD[3]")).net, lc, ctx->id("A1")); + } + + if (ram->ports.count(ctx->id("WRE"))) + connect_port(ctx, ram->ports.at(ctx->id("WRE")).net, lc, ctx->id("WRE")); + if (ram->ports.count(ctx->id("WCK"))) + connect_port(ctx, ram->ports.at(ctx->id("WCK")).net, lc, ctx->id("WCK")); +} + NEXTPNR_NAMESPACE_END diff --git a/ecp5/cells.h b/ecp5/cells.h index d2ea5490..c68d1dd3 100644 --- a/ecp5/cells.h +++ b/ecp5/cells.h @@ -49,6 +49,8 @@ inline bool is_l6mux(const BaseCtx *ctx, const CellInfo *cell) { return cell->ty void ff_to_slice(Context *ctx, CellInfo *ff, CellInfo *lc, int index, bool driven_by_lut); void lut_to_slice(Context *ctx, CellInfo *lut, CellInfo *lc, int index); void ccu2c_to_slice(Context *ctx, CellInfo *ccu, CellInfo *lc); +void dram_to_ramw(Context *ctx, CellInfo *ram, CellInfo *lc); +void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, int index); NEXTPNR_NAMESPACE_END diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 14b387d5..2c7ce020 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -555,6 +555,23 @@ class Ecp5Packer flush_cells(); } + // Pack distributed RAM + void pack_dram() + { + for (auto cell : sorted(ctx->cells)) { + CellInfo *ci = cell.second; + if (is_dpram(ctx, ci)) { + std::unique_ptr ramw_slice = + create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE"), ci->name.str(ctx) + "$RAMW_SLICE"); + dram_to_ramw(ctx, ci, ramw_slice.get()); + + new_cells.push_back(std::move(ramw_slice)); + packed_cells.insert(ci->name); + } + } + flush_cells(); + } + // Pack LUTs that have been paired together void pack_lut_pairs() { -- cgit v1.2.3 From 885fae8236c0bdb73c99b0605e1d7bdf14000dd4 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 16:43:22 +0100 Subject: ecp5: Handling of DRAM initialisation and wiring Signed-off-by: David Shah --- ecp5/cells.cc | 60 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++- 1 file changed, 59 insertions(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/cells.cc b/ecp5/cells.cc index 048db1d7..ba2d511f 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -252,13 +252,49 @@ void dram_to_ramw(Context *ctx, CellInfo *ram, CellInfo *lc) replace_port(ram, ctx->id("DI[3]"), lc, ctx->id("B1")); } +static unsigned get_dram_init(const Context *ctx, const CellInfo *ram, int bit) +{ + const std::string &idata = str_or_default(ram->params, ctx->id("INITVAL"), + "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx"); + NPNR_ASSERT(idata.length() == 64); + unsigned value = 0; + for (int i = 0; i < 16; i++) { + char c = idata.at(63 - (4 * i + bit)); + if (c == '1') + value |= (i << i); + else + NPNR_ASSERT(c == '0' || c == 'x'); + } + return value; +} + void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, CellInfo *ramw, int index) { lc->params[ctx->id("MODE")] = "DPRAM"; lc->params[ctx->id("WREMUX")] = str_or_default(ram->params, ctx->id("WREMUX"), "WRE"); lc->params[ctx->id("WCKMUX")] = str_or_default(ram->params, ctx->id("WCKMUX"), "WCK"); - // TODO: INIT + unsigned permuted_init0 = 0, permuted_init1 = 0; + unsigned init0 = get_dram_init(ctx, ramw, index * 2), init1 = get_dram_init(ctx, ramw, index * 2 + 1); + + for (int i = 0; i < 16; i++) { + int permuted_addr = 0; + if (i & 1) + permuted_addr |= 8; + if (i & 2) + permuted_addr |= 2; + if (i & 4) + permuted_addr |= 4; + if (i & 8) + permuted_addr |= 1; + if (init0 & (1 << permuted_addr)) + permuted_init0 |= (1 << i); + if (init1 & (1 << permuted_addr)) + permuted_init1 |= (1 << i); + } + + lc->params[ctx->id("LUT0_INITVAL")] = std::to_string(permuted_init0); + lc->params[ctx->id("LUT1_INITVAL")] = std::to_string(permuted_init1); if (ram->ports.count(ctx->id("RAD[0]"))) { connect_port(ctx, ram->ports.at(ctx->id("RAD[0]")).net, lc, ctx->id("D0")); @@ -281,6 +317,28 @@ void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, CellInfo *ramw connect_port(ctx, ram->ports.at(ctx->id("WRE")).net, lc, ctx->id("WRE")); if (ram->ports.count(ctx->id("WCK"))) connect_port(ctx, ram->ports.at(ctx->id("WCK")).net, lc, ctx->id("WCK")); + + connect_ports(ctx, ramw, id_WADO0, lc, id_WAD0); + connect_ports(ctx, ramw, id_WADO1, lc, id_WAD1); + connect_ports(ctx, ramw, id_WADO2, lc, id_WAD2); + connect_ports(ctx, ramw, id_WADO3, lc, id_WAD3); + + if (index == 0) { + connect_ports(ctx, ramw, id_WDO0, lc, id_WD0); + connect_ports(ctx, ramw, id_WDO1, lc, id_WD1); + + replace_port(ram, ctx->id("DO[0]"), lc, id_F0); + replace_port(ram, ctx->id("DO[1]"), lc, id_F1); + + } else if (index == 1) { + connect_ports(ctx, ramw, id_WDO2, lc, id_WD0); + connect_ports(ctx, ramw, id_WDO3, lc, id_WD1); + + replace_port(ram, ctx->id("DO[2]"), lc, id_F0); + replace_port(ram, ctx->id("DO[3]"), lc, id_F1); + } else { + NPNR_ASSERT_FALSE("bad DPRAM index"); + } } NEXTPNR_NAMESPACE_END -- cgit v1.2.3 From 9518c5d7629bfac996f9a3585a8d7bd86789357d Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 17:05:02 +0100 Subject: ecp5: Working on DRAM packing Signed-off-by: David Shah --- ecp5/cells.h | 2 +- ecp5/pack.cc | 67 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 68 insertions(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/cells.h b/ecp5/cells.h index c68d1dd3..a5229fe0 100644 --- a/ecp5/cells.h +++ b/ecp5/cells.h @@ -50,7 +50,7 @@ void ff_to_slice(Context *ctx, CellInfo *ff, CellInfo *lc, int index, bool drive void lut_to_slice(Context *ctx, CellInfo *lut, CellInfo *lc, int index); void ccu2c_to_slice(Context *ctx, CellInfo *ccu, CellInfo *lc); void dram_to_ramw(Context *ctx, CellInfo *ram, CellInfo *lc); -void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, int index); +void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, CellInfo *ramw, int index); NEXTPNR_NAMESPACE_END diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 2c7ce020..fbe3879a 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -561,10 +561,77 @@ class Ecp5Packer for (auto cell : sorted(ctx->cells)) { CellInfo *ci = cell.second; if (is_dpram(ctx, ci)) { + + // Create RAMW slice std::unique_ptr ramw_slice = create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE"), ci->name.str(ctx) + "$RAMW_SLICE"); dram_to_ramw(ctx, ci, ramw_slice.get()); + // Create actual RAM slices + std::unique_ptr ram0_slice = + create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE"), ci->name.str(ctx) + "$DPRAM0_SLICE"); + dram_to_ram_slice(ctx, ci, ram0_slice.get(), ramw_slice.get(), 0); + + std::unique_ptr ram1_slice = + create_ecp5_cell(ctx, ctx->id("TRELLIS_SLICE"), ci->name.str(ctx) + "$DPRAM1_SLICE"); + dram_to_ram_slice(ctx, ci, ram1_slice.get(), ramw_slice.get(), 1); + + // Disconnect ports of original cell after packing + disconnect_port(ctx, ci, id_WCK); + disconnect_port(ctx, ci, id_WRE); + + disconnect_port(ctx, ci, ctx->id("RAD[0]")); + disconnect_port(ctx, ci, ctx->id("RAD[1]")); + disconnect_port(ctx, ci, ctx->id("RAD[2]")); + disconnect_port(ctx, ci, ctx->id("RAD[3]")); + + // Attempt to pack FFs into RAM slices + std::vector tile_ffs; + for (auto slice : {ram0_slice.get(), ram1_slice.get()}) { + CellInfo *ff0 = nullptr; + NetInfo *f0net = slice->ports.at(ctx->id("F0")).net; + if (f0net != nullptr) { + ff0 = net_only_drives(ctx, f0net, is_ff, ctx->id("DI"), false); + if (ff0 != nullptr && can_add_ff_to_file(tile_ffs, ff0)) { + ff_to_slice(ctx, ff0, slice, 0, true); + tile_ffs.push_back(ff0); + packed_cells.insert(ff0->name); + } + } + + CellInfo *ff1 = nullptr; + NetInfo *f1net = slice->ports.at(ctx->id("F1")).net; + if (f1net != nullptr) { + ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); + if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && + can_add_ff_to_file(tile_ffs, ff1)) { + ff_to_slice(ctx, ff1, slice, 1, true); + tile_ffs.push_back(ff1); + packed_cells.insert(ff1->name); + } + } + } + + // Setup placement constraints + ram0_slice->constr_abs_z = true; + ram0_slice->constr_z = 0; + + ram1_slice->constr_parent = ram0_slice.get(); + ram1_slice->constr_abs_z = true; + ram1_slice->constr_x = 0; + ram1_slice->constr_y = 0; + ram1_slice->constr_z = 1; + ram0_slice->constr_children.push_back(ram1_slice.get()); + + ramw_slice->constr_parent = ram0_slice.get(); + ramw_slice->constr_abs_z = true; + ramw_slice->constr_x = 0; + ramw_slice->constr_y = 0; + ramw_slice->constr_z = 2; + ram0_slice->constr_children.push_back(ramw_slice.get()); + + new_cells.push_back(std::move(ram0_slice)); + new_cells.push_back(std::move(ram1_slice)); new_cells.push_back(std::move(ramw_slice)); packed_cells.insert(ci->name); } -- cgit v1.2.3 From c8a9bb807c21db935985a6be0d7f7deb1afd16d0 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 17:45:35 +0100 Subject: ecp5: Debugging DRAM packing Signed-off-by: David Shah --- ecp5/bitstream.cc | 9 +++++++++ ecp5/globals.cc | 2 +- ecp5/pack.cc | 12 +++++++----- 3 files changed, 17 insertions(+), 6 deletions(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index bfd51666..d8914f1a 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -269,6 +269,15 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex str_or_default(ci->params, ctx->id("INJECT1_1"), "YES")); } + if (str_or_default(ci->params, ctx->id("MODE"), "LOGIC") == "DPRAM" && slice == "SLICEA") { + cc.tiles[tname].add_enum(slice + ".WREMUX", + str_or_default(ci->params, ctx->id("WREMUX"), "WRE")); + + // FIXME: WCKMUX + NPNR_ASSERT(str_or_default(ci->params, ctx->id("WCKMUX"), "WCK") == "WCK"); + } + + // Tie unused inputs high for (auto input : {id_A0, id_B0, id_C0, id_D0, id_A1, id_B1, id_C1, id_D1}) { if (ci->ports.find(input) == ci->ports.end() || ci->ports.at(input).net == nullptr) { diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 91d224e5..e5627b66 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -52,7 +52,7 @@ class Ecp5GlobalRouter private: bool is_clock_port(const PortRef &user) { - if (user.cell->type == id_TRELLIS_SLICE && user.port == id_CLK) + if (user.cell->type == id_TRELLIS_SLICE && (user.port == id_CLK || user.port == id_WCK)) return true; return false; } diff --git a/ecp5/pack.cc b/ecp5/pack.cc index fbe3879a..53203e33 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -108,7 +108,7 @@ class Ecp5Packer } // Return whether or not an FF can be added to a tile (pairing checks must also be done using the fn above) - bool can_add_ff_to_file(const std::vector &tile_ffs, CellInfo *ff0) + bool can_add_ff_to_tile(const std::vector &tile_ffs, CellInfo *ff0) { for (const auto &existing : tile_ffs) { if (net_or_nullptr(existing, ctx->id("CLK")) != net_or_nullptr(ff0, ctx->id("CLK"))) @@ -512,7 +512,7 @@ class Ecp5Packer NetInfo *f0net = slice->ports.at(ctx->id("F0")).net; if (f0net != nullptr) { ff0 = net_only_drives(ctx, f0net, is_ff, ctx->id("DI"), false); - if (ff0 != nullptr && can_add_ff_to_file(tile_ffs, ff0)) { + if (ff0 != nullptr && can_add_ff_to_tile(tile_ffs, ff0)) { ff_to_slice(ctx, ff0, slice.get(), 0, true); tile_ffs.push_back(ff0); packed_cells.insert(ff0->name); @@ -524,7 +524,7 @@ class Ecp5Packer if (f1net != nullptr) { ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && - can_add_ff_to_file(tile_ffs, ff1)) { + can_add_ff_to_tile(tile_ffs, ff1)) { ff_to_slice(ctx, ff1, slice.get(), 1, true); tile_ffs.push_back(ff1); packed_cells.insert(ff1->name); @@ -592,7 +592,7 @@ class Ecp5Packer NetInfo *f0net = slice->ports.at(ctx->id("F0")).net; if (f0net != nullptr) { ff0 = net_only_drives(ctx, f0net, is_ff, ctx->id("DI"), false); - if (ff0 != nullptr && can_add_ff_to_file(tile_ffs, ff0)) { + if (ff0 != nullptr && can_add_ff_to_tile(tile_ffs, ff0)) { ff_to_slice(ctx, ff0, slice, 0, true); tile_ffs.push_back(ff0); packed_cells.insert(ff0->name); @@ -604,7 +604,7 @@ class Ecp5Packer if (f1net != nullptr) { ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && - can_add_ff_to_file(tile_ffs, ff1)) { + can_add_ff_to_tile(tile_ffs, ff1)) { ff_to_slice(ctx, ff1, slice, 1, true); tile_ffs.push_back(ff1); packed_cells.insert(ff1->name); @@ -888,6 +888,7 @@ class Ecp5Packer { pack_io(); pack_constants(); + pack_dram(); pack_carries(); find_lutff_pairs(); pack_lut5s(); @@ -895,6 +896,7 @@ class Ecp5Packer pack_lut_pairs(); pack_remaining_luts(); pack_remaining_ffs(); + ctx->check(); } private: -- cgit v1.2.3 From 3dfc5b864a66ba1bfc286de884bd46a859e4306d Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 17:51:36 +0100 Subject: ecp5: Remove broken DRAM timing arc Signed-off-by: David Shah --- ecp5/arch.cc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 830dfc7c..6cd83cce 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -511,12 +511,12 @@ bool Arch::getCellDelay(const CellInfo *cell, IdString fromPort, IdString toPort delay.delay = 193; return true; } - +#if 0 //FIXME if (fromPort == id_WCK && (toPort == id_F0 || toPort == id_F1)) { delay.delay = 717; return true; } - +#endif if ((fromPort == id_A0 && toPort == id_WADO3) || (fromPort == id_A1 && toPort == id_WDO1) || (fromPort == id_B0 && toPort == id_WADO1) || (fromPort == id_B1 && toPort == id_WDO3) || (fromPort == id_C0 && toPort == id_WADO2) || (fromPort == id_C1 && toPort == id_WDO0) || -- cgit v1.2.3 From 2c96d4770df064eb02050b0f94de5b45d8724b4c Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 18:15:11 +0100 Subject: ecp5: Fix DRAM initialisation Signed-off-by: David Shah --- ecp5/cells.cc | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'ecp5') diff --git a/ecp5/cells.cc b/ecp5/cells.cc index ba2d511f..815ae228 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -261,7 +261,7 @@ static unsigned get_dram_init(const Context *ctx, const CellInfo *ram, int bit) for (int i = 0; i < 16; i++) { char c = idata.at(63 - (4 * i + bit)); if (c == '1') - value |= (i << i); + value |= (1 << i); else NPNR_ASSERT(c == '0' || c == 'x'); } @@ -275,7 +275,7 @@ void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, CellInfo *ramw lc->params[ctx->id("WCKMUX")] = str_or_default(ram->params, ctx->id("WCKMUX"), "WCK"); unsigned permuted_init0 = 0, permuted_init1 = 0; - unsigned init0 = get_dram_init(ctx, ramw, index * 2), init1 = get_dram_init(ctx, ramw, index * 2 + 1); + unsigned init0 = get_dram_init(ctx, ram, index * 2), init1 = get_dram_init(ctx, ram, index * 2 + 1); for (int i = 0; i < 16; i++) { int permuted_addr = 0; -- cgit v1.2.3 From fd4498736ed4ddd0810913b03dd7f772072b4180 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 18:19:56 +0100 Subject: ecp5: Fix packing of FFs into carry/DRAM slices Signed-off-by: David Shah --- ecp5/pack.cc | 16 ++++++++++++---- 1 file changed, 12 insertions(+), 4 deletions(-) (limited to 'ecp5') diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 53203e33..3ba6e238 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -496,6 +496,7 @@ class Ecp5Packer std::vector> packed_chains; // Chain packing + std::vector> ff_packing; for (auto &chain : all_chains) { int cell_count = 0; std::vector tile_ffs; @@ -513,7 +514,7 @@ class Ecp5Packer if (f0net != nullptr) { ff0 = net_only_drives(ctx, f0net, is_ff, ctx->id("DI"), false); if (ff0 != nullptr && can_add_ff_to_tile(tile_ffs, ff0)) { - ff_to_slice(ctx, ff0, slice.get(), 0, true); + ff_packing.push_back(std::make_tuple(ff0, slice.get(), 0)); tile_ffs.push_back(ff0); packed_cells.insert(ff0->name); } @@ -525,7 +526,7 @@ class Ecp5Packer ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && can_add_ff_to_tile(tile_ffs, ff1)) { - ff_to_slice(ctx, ff1, slice.get(), 1, true); + ff_packing.push_back(std::make_tuple(ff1, slice.get(), 1)); tile_ffs.push_back(ff1); packed_cells.insert(ff1->name); } @@ -538,6 +539,9 @@ class Ecp5Packer packed_chains.push_back(packed_chain); } + for (auto ff : ff_packing) + ff_to_slice(ctx, std::get<0>(ff), std::get<1>(ff), std::get<2>(ff), true); + // Relative chain placement for (auto &chain : packed_chains) { chain.at(0)->constr_abs_z = true; @@ -586,6 +590,7 @@ class Ecp5Packer disconnect_port(ctx, ci, ctx->id("RAD[3]")); // Attempt to pack FFs into RAM slices + std::vector> ff_packing; std::vector tile_ffs; for (auto slice : {ram0_slice.get(), ram1_slice.get()}) { CellInfo *ff0 = nullptr; @@ -593,7 +598,7 @@ class Ecp5Packer if (f0net != nullptr) { ff0 = net_only_drives(ctx, f0net, is_ff, ctx->id("DI"), false); if (ff0 != nullptr && can_add_ff_to_tile(tile_ffs, ff0)) { - ff_to_slice(ctx, ff0, slice, 0, true); + ff_packing.push_back(std::make_tuple(ff0, slice, 0)); tile_ffs.push_back(ff0); packed_cells.insert(ff0->name); } @@ -605,13 +610,16 @@ class Ecp5Packer ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && can_add_ff_to_tile(tile_ffs, ff1)) { - ff_to_slice(ctx, ff1, slice, 1, true); + ff_packing.push_back(std::make_tuple(ff1, slice, 1)); tile_ffs.push_back(ff1); packed_cells.insert(ff1->name); } } } + for (auto ff : ff_packing) + ff_to_slice(ctx, std::get<0>(ff), std::get<1>(ff), std::get<2>(ff), true); + // Setup placement constraints ram0_slice->constr_abs_z = true; ram0_slice->constr_z = 0; -- cgit v1.2.3 From 9ebec3b87f4b4a6a24fc391d1acd944490630499 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 18:20:14 +0100 Subject: clangformat Signed-off-by: David Shah --- ecp5/arch.cc | 2 +- ecp5/bitstream.cc | 4 +--- ecp5/pack.cc | 4 ++-- 3 files changed, 4 insertions(+), 6 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 6cd83cce..9c059005 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -511,7 +511,7 @@ bool Arch::getCellDelay(const CellInfo *cell, IdString fromPort, IdString toPort delay.delay = 193; return true; } -#if 0 //FIXME +#if 0 // FIXME if (fromPort == id_WCK && (toPort == id_F0 || toPort == id_F1)) { delay.delay = 717; return true; diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index d8914f1a..0c2d511d 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -270,14 +270,12 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex } if (str_or_default(ci->params, ctx->id("MODE"), "LOGIC") == "DPRAM" && slice == "SLICEA") { - cc.tiles[tname].add_enum(slice + ".WREMUX", - str_or_default(ci->params, ctx->id("WREMUX"), "WRE")); + cc.tiles[tname].add_enum(slice + ".WREMUX", str_or_default(ci->params, ctx->id("WREMUX"), "WRE")); // FIXME: WCKMUX NPNR_ASSERT(str_or_default(ci->params, ctx->id("WCKMUX"), "WCK") == "WCK"); } - // Tie unused inputs high for (auto input : {id_A0, id_B0, id_C0, id_D0, id_A1, id_B1, id_C1, id_D1}) { if (ci->ports.find(input) == ci->ports.end() || ci->ports.at(input).net == nullptr) { diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 3ba6e238..8e33356e 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -525,7 +525,7 @@ class Ecp5Packer if (f1net != nullptr) { ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && - can_add_ff_to_tile(tile_ffs, ff1)) { + can_add_ff_to_tile(tile_ffs, ff1)) { ff_packing.push_back(std::make_tuple(ff1, slice.get(), 1)); tile_ffs.push_back(ff1); packed_cells.insert(ff1->name); @@ -609,7 +609,7 @@ class Ecp5Packer if (f1net != nullptr) { ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && - can_add_ff_to_tile(tile_ffs, ff1)) { + can_add_ff_to_tile(tile_ffs, ff1)) { ff_packing.push_back(std::make_tuple(ff1, slice, 1)); tile_ffs.push_back(ff1); packed_cells.insert(ff1->name); -- cgit v1.2.3 From 8cbc92b7f3cd106363ee115c4ec6a9f2bbaba8c8 Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 1 Oct 2018 18:45:14 +0100 Subject: ecp5: Small DRAM routing fixes Signed-off-by: David Shah --- ecp5/globals.cc | 16 +++++++++++++++- ecp5/pack.cc | 16 ++++++++++------ 2 files changed, 25 insertions(+), 7 deletions(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index e5627b66..364e4bca 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -302,6 +302,14 @@ class Ecp5GlobalRouter ctx->nets[glbnet->name] = std::move(glbnet); return glbptr; } + + int global_route_priority(const PortRef &load) + { + if (load.port == id_WCK || load.port == id_WRE) + return 90; + return 99; + } + Context *ctx; public: @@ -333,7 +341,13 @@ class Ecp5GlobalRouter NetInfo *global = insert_dcc(clock); bool routed = route_onto_global(global, glbid); NPNR_ASSERT(routed); - for (const auto &user : global->users) { + + // WCK must have routing priority + auto sorted_users = global->users; + std::sort(sorted_users.begin(), sorted_users.end(), [this](const PortRef &a, const PortRef &b) { + return global_route_priority(a) < global_route_priority(b); + }); + for (const auto &user : sorted_users) { route_logic_tile_global(global, glbid, user); } } diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 8e33356e..60ac55f6 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -598,9 +598,11 @@ class Ecp5Packer if (f0net != nullptr) { ff0 = net_only_drives(ctx, f0net, is_ff, ctx->id("DI"), false); if (ff0 != nullptr && can_add_ff_to_tile(tile_ffs, ff0)) { - ff_packing.push_back(std::make_tuple(ff0, slice, 0)); - tile_ffs.push_back(ff0); - packed_cells.insert(ff0->name); + if (net_or_nullptr(ff0, ctx->id("CLK")) == net_or_nullptr(slice, ctx->id("WCK"))) { + ff_packing.push_back(std::make_tuple(ff0, slice, 0)); + tile_ffs.push_back(ff0); + packed_cells.insert(ff0->name); + } } } @@ -610,9 +612,11 @@ class Ecp5Packer ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && can_add_ff_to_tile(tile_ffs, ff1)) { - ff_packing.push_back(std::make_tuple(ff1, slice, 1)); - tile_ffs.push_back(ff1); - packed_cells.insert(ff1->name); + if (net_or_nullptr(ff1, ctx->id("CLK")) == net_or_nullptr(slice, ctx->id("WCK"))) { + ff_packing.push_back(std::make_tuple(ff1, slice, 1)); + tile_ffs.push_back(ff1); + packed_cells.insert(ff1->name); + } } } } -- cgit v1.2.3 From bf7161d2b49ff5660626a0ac4af5a7eeb3fb77c1 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 2 Oct 2018 15:50:45 +0100 Subject: ecp5: Negative clock support, general slice improvements Signed-off-by: David Shah --- ecp5/bitstream.cc | 25 +++++++++++++++++++++++-- ecp5/cells.cc | 2 ++ ecp5/pack.cc | 18 ++++++++++++++++-- 3 files changed, 41 insertions(+), 4 deletions(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 0c2d511d..296ea753 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -260,6 +260,17 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex str_or_default(ci->params, ctx->id("SRMODE"), "LSR_OVER_CE")); cc.tiles[tname].add_enum("LSR1.LSRMUX", str_or_default(ci->params, ctx->id("LSRMUX"), "LSR")); } + + NetInfo *clknet = nullptr; + if (ci->ports.find(ctx->id("CLK")) != ci->ports.end() && ci->ports.at(ctx->id("CLK")).net != nullptr) + clknet = ci->ports.at(ctx->id("CLK")).net; + if (ctx->getBoundWireNet(ctx->getWireByName( + ctx->id(fmt_str("X" << bel.location.x << "/Y" << bel.location.y << "/CLK0")))) == clknet) { + cc.tiles[tname].add_enum("CLK0.CLKMUX", str_or_default(ci->params, ctx->id("CLKMUX"), "CLK")); + } else if (ctx->getBoundWireNet(ctx->getWireByName(ctx->id( + fmt_str("X" << bel.location.x << "/Y" << bel.location.y << "/CLK1")))) == clknet) { + cc.tiles[tname].add_enum("CLK1.CLKMUX", str_or_default(ci->params, ctx->id("CLKMUX"), "CLK")); + } } if (str_or_default(ci->params, ctx->id("MODE"), "LOGIC") == "CCU2") { @@ -267,13 +278,23 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex str_or_default(ci->params, ctx->id("INJECT1_0"), "YES")); cc.tiles[tname].add_enum(slice + ".CCU2.INJECT1_1", str_or_default(ci->params, ctx->id("INJECT1_1"), "YES")); + } else { + // Don't interfere with cascade mux wiring + cc.tiles[tname].add_enum(slice + ".CCU2.INJECT1_0", + str_or_default(ci->params, ctx->id("INJECT1_0"), "_NONE_")); + cc.tiles[tname].add_enum(slice + ".CCU2.INJECT1_1", + str_or_default(ci->params, ctx->id("INJECT1_1"), "_NONE_")); } if (str_or_default(ci->params, ctx->id("MODE"), "LOGIC") == "DPRAM" && slice == "SLICEA") { cc.tiles[tname].add_enum(slice + ".WREMUX", str_or_default(ci->params, ctx->id("WREMUX"), "WRE")); - // FIXME: WCKMUX - NPNR_ASSERT(str_or_default(ci->params, ctx->id("WCKMUX"), "WCK") == "WCK"); + NetInfo *wcknet = nullptr; + std::string wckmux = str_or_default(ci->params, ctx->id("WCKMUX"), "WCK"); + wckmux = (wckmux == "WCK") ? "CLK" : wckmux; + if (ci->ports.find(ctx->id("WCK")) != ci->ports.end() && ci->ports.at(ctx->id("WCK")).net != nullptr) + wcknet = ci->ports.at(ctx->id("WCK")).net; + cc.tiles[tname].add_enum("CLK1.CLKMUX", wckmux); } // Tie unused inputs high diff --git a/ecp5/cells.cc b/ecp5/cells.cc index 815ae228..a728104d 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -185,6 +185,8 @@ void ff_to_slice(Context *ctx, CellInfo *ff, CellInfo *lc, int index, bool drive set_param_safe(has_ff, lc, ctx->id("GSR"), str_or_default(ff->params, ctx->id("GSR"), "DISABLED")); set_param_safe(has_ff, lc, ctx->id("CEMUX"), str_or_default(ff->params, ctx->id("CEMUX"), "1")); set_param_safe(has_ff, lc, ctx->id("LSRMUX"), str_or_default(ff->params, ctx->id("LSRMUX"), "LSR")); + set_param_safe(has_ff, lc, ctx->id("CLKMUX"), str_or_default(ff->params, ctx->id("CLKMUX"), "CLK")); + lc->params[ctx->id(reg + "_SD")] = driven_by_lut ? "1" : "0"; lc->params[ctx->id(reg + "_REGSET")] = str_or_default(ff->params, ctx->id("REGSET"), "RESET"); replace_port_safe(has_ff, ff, ctx->id("CLK"), lc, ctx->id("CLK")); diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 60ac55f6..0045617b 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -128,6 +128,20 @@ class Ecp5Packer return true; } + // Return true if a FF can be added to a DPRAM slice + bool can_pack_ff_dram(CellInfo *dpram, CellInfo *ff) + { + std::string wckmux = str_or_default(dpram->params, ctx->id("WCKMUX"), "WCK"); + std::string clkmux = str_or_default(ff->params, ctx->id("CLKMUX"), "CLK"); + if (wckmux != clkmux && !(wckmux == "WCK" && clkmux == "CLK")) + return false; + std::string wremux = str_or_default(dpram->params, ctx->id("WREMUX"), "WRE"); + std::string lsrmux = str_or_default(ff->params, ctx->id("LSRMUX"), "LSR"); + if (wremux != lsrmux && !(wremux == "WRE" && lsrmux == "LSR")) + return false; + return true; + } + // Return true if two LUTs can be paired considering FF compatibility bool can_pack_lutff(IdString lut0, IdString lut1) { @@ -598,7 +612,7 @@ class Ecp5Packer if (f0net != nullptr) { ff0 = net_only_drives(ctx, f0net, is_ff, ctx->id("DI"), false); if (ff0 != nullptr && can_add_ff_to_tile(tile_ffs, ff0)) { - if (net_or_nullptr(ff0, ctx->id("CLK")) == net_or_nullptr(slice, ctx->id("WCK"))) { + if (can_pack_ff_dram(slice, ff0)) { ff_packing.push_back(std::make_tuple(ff0, slice, 0)); tile_ffs.push_back(ff0); packed_cells.insert(ff0->name); @@ -612,7 +626,7 @@ class Ecp5Packer ff1 = net_only_drives(ctx, f1net, is_ff, ctx->id("DI"), false); if (ff1 != nullptr && (ff0 == nullptr || can_pack_ffs(ff0, ff1)) && can_add_ff_to_tile(tile_ffs, ff1)) { - if (net_or_nullptr(ff1, ctx->id("CLK")) == net_or_nullptr(slice, ctx->id("WCK"))) { + if (can_pack_ff_dram(slice, ff1)) { ff_packing.push_back(std::make_tuple(ff1, slice, 1)); tile_ffs.push_back(ff1); packed_cells.insert(ff1->name); -- cgit v1.2.3 From 48f08e6d395be4ed7436150ad5e45a14c981e0be Mon Sep 17 00:00:00 2001 From: David Shah Date: Fri, 5 Oct 2018 10:54:30 +0100 Subject: ecp5: Adding constids for blockram Signed-off-by: David Shah --- ecp5/constids.inc | 118 ++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 118 insertions(+) (limited to 'ecp5') diff --git a/ecp5/constids.inc b/ecp5/constids.inc index bd55fa90..7880126b 100644 --- a/ecp5/constids.inc +++ b/ecp5/constids.inc @@ -54,3 +54,121 @@ X(SRMODE) X(CLKI) X(CLKO) + +X(DP16KD) +X(DIA0) +X(DIA1) +X(DIA2) +X(DIA3) +X(DIA4) +X(DIA5) +X(DIA6) +X(DIA7) +X(DIA8) +X(DIA9) +X(DIA10) +X(DIA11) +X(DIA12) +X(DIA13) +X(DIA14) +X(DIA15) +X(DIA16) +X(DIA17) +X(ADA0) +X(ADA1) +X(ADA2) +X(ADA3) +X(ADA4) +X(ADA5) +X(ADA6) +X(ADA7) +X(ADA8) +X(ADA9) +X(ADA10) +X(ADA11) +X(ADA12) +X(ADA13) +X(CEA) +X(OCEA) +X(CLKA) +X(WEA) +X(CSA2) +X(CSA1) +X(CSA0) +X(RSTA) +X(DIB0) +X(DIB1) +X(DIB2) +X(DIB3) +X(DIB4) +X(DIB5) +X(DIB6) +X(DIB7) +X(DIB8) +X(DIB9) +X(DIB10) +X(DIB11) +X(DIB12) +X(DIB13) +X(DIB14) +X(DIB15) +X(DIB16) +X(DIB17) +X(ADB0) +X(ADB1) +X(ADB2) +X(ADB3) +X(ADB4) +X(ADB5) +X(ADB6) +X(ADB7) +X(ADB8) +X(ADB9) +X(ADB10) +X(ADB11) +X(ADB12) +X(ADB13) +X(CEB) +X(OCEB) +X(CLKB) +X(WEB) +X(CSB2) +X(CSB1) +X(CSB0) +X(RSTB) +X(DOA0) +X(DOA1) +X(DOA2) +X(DOA3) +X(DOA4) +X(DOA5) +X(DOA6) +X(DOA7) +X(DOA8) +X(DOA9) +X(DOA10) +X(DOA11) +X(DOA12) +X(DOA13) +X(DOA14) +X(DOA15) +X(DOA16) +X(DOA17) +X(DOB0) +X(DOB1) +X(DOB2) +X(DOB3) +X(DOB4) +X(DOB5) +X(DOB6) +X(DOB7) +X(DOB8) +X(DOB9) +X(DOB10) +X(DOB11) +X(DOB12) +X(DOB13) +X(DOB14) +X(DOB15) +X(DOB16) +X(DOB17) \ No newline at end of file -- cgit v1.2.3 From 19f828c91c836a6d8e04676ca76ec2c6d0004b8a Mon Sep 17 00:00:00 2001 From: David Shah Date: Fri, 5 Oct 2018 11:35:37 +0100 Subject: ecp5: Dummy timing entry for BRAM Signed-off-by: David Shah --- ecp5/arch.cc | 3 +++ 1 file changed, 3 insertions(+) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 9c059005..b3a40a03 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -582,6 +582,9 @@ TimingPortClass Arch::getPortTimingClass(const CellInfo *cell, IdString port, Id if (port == id_CLKO) return TMG_COMB_OUTPUT; return TMG_IGNORE; + } else if (cell->type == id_DP16KD) { + // FIXME + return TMG_IGNORE; } else { NPNR_ASSERT_FALSE_STR("no timing data for cell type '" + cell->type.str(this) + "'"); } -- cgit v1.2.3 From 56ab547aeb00f55337d1eaf914fa51e6b6c5076c Mon Sep 17 00:00:00 2001 From: David Shah Date: Fri, 5 Oct 2018 14:36:16 +0100 Subject: ecp5: Infrastructure for BRAM bitstream gen Signed-off-by: David Shah --- ecp5/bitstream.cc | 25 +++++++++++++++++++++++++ ecp5/config.cc | 22 ++++++++++++++++++++++ ecp5/config.h | 9 +++++++++ 3 files changed, 56 insertions(+) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 296ea753..dad9da66 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -20,6 +20,7 @@ #include "bitstream.h" #include +#include #include #include "config.h" @@ -61,6 +62,30 @@ static std::vector int_to_bitvector(int val, int size) return bv; } +// Tie a wire using the CIB ties +static void tie_cib_signal(Context *ctx, ChipConfig &cc, WireId wire, bool value) +{ + static const std::regex cib_re("J([A-D]|CE|LSR|CLK)[0-7]"); + WireId cibsig = wire; + std::string basename = ctx->getWireBasename(wire).str(ctx); + + while (!std::regex_match(basename, cib_re)) { + auto uphill = ctx->getPipsUphill(cibsig); + NPNR_ASSERT(uphill.begin() != uphill.end()); // At least one uphill pip + auto iter = uphill.begin(); + cibsig = ctx->getPipSrcWire(*iter); + ++iter; + NPNR_ASSERT(!(iter != uphill.end())); // Exactly one uphill pip + } + for (const auto &tile : ctx->getTilesAtLocation(cibsig.location.y, cibsig.location.x)) { + if (tile.second.substr(0, 3) == "CIB" || tile.second.substr(0, 4) == "VCIB") { + cc.tiles[tile.first].add_enum("CIB." + basename + "MUX", value ? "1" : "0"); + return; + } + } + NPNR_ASSERT_FALSE("CIB tile not found at location"); +} + // Get the PIO tile corresponding to a PIO bel static std::string get_pio_tile(Context *ctx, BelId bel) { diff --git a/ecp5/config.cc b/ecp5/config.cc index 826c16a9..2d4f8b1e 100644 --- a/ecp5/config.cc +++ b/ecp5/config.cc @@ -274,6 +274,15 @@ std::ostream &operator<<(std::ostream &out, const ChipConfig &cc) out << std::endl; } } + for (const auto &tg : cc.tilegroups) { + out << ".tile_group"; + for (const auto &tile : tg.tiles) { + out << " " << tile; + } + out << std::endl; + out << tg.config; + out << std::endl; + } return out; } @@ -294,6 +303,19 @@ std::istream &operator>>(std::istream &in, ChipConfig &cc) TileConfig tc; in >> tc; cc.tiles[tilename] = tc; + } else if (verb == ".tile_group") { + TileGroup tg; + std::string line; + getline(in, line); + std::stringstream ss2(line); + + std::string tile; + while (ss2) { + ss2 >> tile; + tg.tiles.push_back(tile); + } + in >> tg.config; + cc.tilegroups.push_back(tg); } else { log_error("unrecognised config entry %s\n", verb.c_str()); } diff --git a/ecp5/config.h b/ecp5/config.h index 3d2ef971..c7e2c3d9 100644 --- a/ecp5/config.h +++ b/ecp5/config.h @@ -98,6 +98,14 @@ std::ostream &operator<<(std::ostream &out, const TileConfig &tc); std::istream &operator>>(std::istream &in, TileConfig &ce); +// A group of tiles to configure at once for a particular feature that is split across tiles +// TileGroups are currently for non-routing configuration only +struct TileGroup +{ + std::vector tiles; + TileConfig config; +}; + // This represents the configuration of a chip at a high level class ChipConfig { @@ -105,6 +113,7 @@ class ChipConfig std::string chip_name; std::vector metadata; std::map tiles; + std::vector tilegroups; }; std::ostream &operator<<(std::ostream &out, const ChipConfig &cc); -- cgit v1.2.3 From 85a95ec2508db54c503605d03f242ddfd92d7145 Mon Sep 17 00:00:00 2001 From: David Shah Date: Fri, 5 Oct 2018 15:53:41 +0100 Subject: ecp5: Bitstream gen for DP16KD BRAM Signed-off-by: David Shah --- ecp5/bitstream.cc | 98 +++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 98 insertions(+) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index dad9da66..b151d987 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -62,6 +62,20 @@ static std::vector int_to_bitvector(int val, int size) return bv; } +static std::vector str_to_bitvector(std::string str, int size) +{ + std::vector bv; + bv.resize(size, 0); + if (str.substr(0, 2) != "0b") + log_error("error parsing value '%s', expected 0b prefix\n", str.c_str()); + for (int i = 0; i < int(str.size()) - 2; i++) { + char c = str.at((str.size() - i) - 1); + NPNR_ASSERT(c == '0' || c == '1'); + bv.at(i) = (c == '1'); + } + return bv; +} + // Tie a wire using the CIB ties static void tie_cib_signal(Context *ctx, ChipConfig &cc, WireId wire, bool value) { @@ -169,6 +183,44 @@ static std::string get_pic_tile(Context *ctx, BelId bel) } } +// Get the list of tiles corresponding to a blockram +std::vector get_bram_tiles(Context *ctx, BelId bel) +{ + std::vector tiles; + Loc loc = ctx->getBelLocation(bel); + + static const std::set ebr0 = {"MIB_EBR0", "EBR_CMUX_UR", "EBR_CMUX_LR", "EBR_CMUX_LR_25K"}; + static const std::set ebr8 = {"MIB_EBR8", "EBR_SPINE_UL1", "EBR_SPINE_UR1", "EBR_SPINE_LL1", + "EBR_CMUX_UL", "EBR_SPINE_LL0", "EBR_CMUX_LL", "EBR_SPINE_LR0", + "EBR_SPINE_LR1", "EBR_CMUX_LL_25K", "EBR_SPINE_UL2", "EBR_SPINE_UL0", + "EBR_SPINE_UR2", "EBR_SPINE_LL2", "EBR_SPINE_LR2"}; + + switch (loc.z) { + case 0: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, ebr0)); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_EBR1")); + break; + case 1: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_EBR2")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_EBR3")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB_EBR4")); + break; + case 2: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_EBR4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_EBR5")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB_EBR6")); + break; + case 3: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_EBR6")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_EBR7")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, ebr8)); + break; + default: + NPNR_ASSERT_FALSE("bad EBR z loc"); + } + return tiles; +} + void write_bitstream(Context *ctx, std::string base_config_file, std::string text_config_file) { ChipConfig cc; @@ -369,6 +421,52 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex } } else if (ci->type == ctx->id("DCCA")) { // Nothing to do + } else if (ci->type == ctx->id("DP16KD")) { + TileGroup tg; + Loc loc = ctx->getBelLocation(ci->bel); + tg.tiles = get_bram_tiles(ctx, ci->bel); + std::string ebr = "EBR" + std::to_string(loc.z); + + tg.config.add_enum(ebr + ".MODE", "DP16KD"); + + tg.config.add_word(ebr + ".CSDECODE_A", + str_to_bitvector(str_or_default(ci->params, ctx->id("CSDECODE_A"), "0b000"), 3)); + tg.config.add_word(ebr + ".CSDECODE_B", + str_to_bitvector(str_or_default(ci->params, ctx->id("CSDECODE_B"), "0b000"), 3)); + + tg.config.add_enum(ebr + ".DP16KD.DATA_WIDTH_A", str_or_default(ci->params, ctx->id("DATA_WIDTH_A"), "18")); + tg.config.add_enum(ebr + ".DP16KD.DATA_WIDTH_B", str_or_default(ci->params, ctx->id("DATA_WIDTH_B"), "18")); + + tg.config.add_enum(ebr + ".DP16KD.WRITEMODE_A", + str_or_default(ci->params, ctx->id("WRITEMODE_A"), "NORMAL")); + tg.config.add_enum(ebr + ".DP16KD.WRITEMODE_B", + str_or_default(ci->params, ctx->id("WRITEMODE_B"), "NORMAL")); + + tg.config.add_enum(ebr + ".REGMODE_A", str_or_default(ci->params, ctx->id("REGMODE_A"), "NOREG")); + tg.config.add_enum(ebr + ".REGMODE_B", str_or_default(ci->params, ctx->id("REGMODE_B"), "NOREG")); + + tg.config.add_enum(ebr + ".RESETMODE", str_or_default(ci->params, ctx->id("RESETMODE"), "SYNC")); + tg.config.add_enum(ebr + ".ASYNC_RESET_RELEASE", + str_or_default(ci->params, ctx->id("ASYNC_RESET_RELEASE"), "SYNC")); + tg.config.add_enum(ebr + ".GSR", str_or_default(ci->params, ctx->id("GSR"), "DISABLED")); + + tg.config.add_word(ebr + ".WID", int_to_bitvector(int_or_default(ci->attrs, ctx->id("WID"), 0), 9)); + + // Tie signals as appropriate + for (auto port : ci->ports) { + if (port.second.net == nullptr) { + if (port.first == id_CLKA || port.first == id_CLKB || port.first == id_WEA || + port.first == id_WEB || port.first == id_CEA || port.first == id_CEB || port.first == id_OCEA || + port.first == id_OCEB || port.first == id_RSTA || port.first == id_RSTB) + continue; // these don't seem to have CIB ties + + // Tie signals low unless explicit MUX param specified + bool value = bool_or_default(ci->params, ctx->id(port.first.str(ctx) + "MUX"), false); + tie_cib_signal(ctx, cc, ctx->getBelPinWire(ci->bel, port.first), value); + } + } + + cc.tilegroups.push_back(tg); } else { NPNR_ASSERT_FALSE("unsupported cell type"); } -- cgit v1.2.3 From cd688a278435c8ea86aee365c02b3ba1af7d3a26 Mon Sep 17 00:00:00 2001 From: David Shah Date: Fri, 5 Oct 2018 16:47:03 +0100 Subject: ecp5: Fixing EBR constant tie-offs Signed-off-by: David Shah --- ecp5/bitstream.cc | 3 ++- ecp5/pack.cc | 49 +++++++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 51 insertions(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index b151d987..fb2a51cc 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -88,6 +88,7 @@ static void tie_cib_signal(Context *ctx, ChipConfig &cc, WireId wire, bool value NPNR_ASSERT(uphill.begin() != uphill.end()); // At least one uphill pip auto iter = uphill.begin(); cibsig = ctx->getPipSrcWire(*iter); + basename = ctx->getWireBasename(cibsig).str(ctx); ++iter; NPNR_ASSERT(!(iter != uphill.end())); // Exactly one uphill pip } @@ -454,7 +455,7 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex // Tie signals as appropriate for (auto port : ci->ports) { - if (port.second.net == nullptr) { + if (port.second.net == nullptr && port.second.type == PORT_IN) { if (port.first == id_CLKA || port.first == id_CLKB || port.first == id_WEA || port.first == id_WEB || port.first == id_CEA || port.first == id_CEB || port.first == id_OCEA || port.first == id_OCEB || port.first == id_RSTA || port.first == id_RSTB) diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 0045617b..47480dee 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -909,10 +909,59 @@ class Ecp5Packer } } + void autocreate_empty_port(CellInfo *cell, IdString port) + { + if (!cell->ports.count(port)) { + cell->ports[port].name = port; + cell->ports[port].net = nullptr; + cell->ports[port].type = PORT_IN; + } + } + + // Pack EBR + void pack_ebr() + { + for (auto cell : sorted(ctx->cells)) { + CellInfo *ci = cell.second; + if (ci->type == id_DP16KD) { + // Add ports, even if disconnected, to ensure correct tie-offs + for (int i = 0; i < 14; i++) { + autocreate_empty_port(ci, ctx->id("ADA" + std::to_string(i))); + autocreate_empty_port(ci, ctx->id("ADB" + std::to_string(i))); + } + for (int i = 0; i < 18; i++) { + autocreate_empty_port(ci, ctx->id("DIA" + std::to_string(i))); + autocreate_empty_port(ci, ctx->id("DIB" + std::to_string(i))); + } + for (int i = 0; i < 3; i++) { + autocreate_empty_port(ci, ctx->id("CSA" + std::to_string(i))); + autocreate_empty_port(ci, ctx->id("CSB" + std::to_string(i))); + } + for (int i = 0; i < 3; i++) { + autocreate_empty_port(ci, ctx->id("CSA" + std::to_string(i))); + autocreate_empty_port(ci, ctx->id("CSB" + std::to_string(i))); + } + + autocreate_empty_port(ci, id_CLKA); + autocreate_empty_port(ci, id_CEA); + autocreate_empty_port(ci, id_OCEA); + autocreate_empty_port(ci, id_WEA); + autocreate_empty_port(ci, id_RSTA); + + autocreate_empty_port(ci, id_CLKB); + autocreate_empty_port(ci, id_CEB); + autocreate_empty_port(ci, id_OCEB); + autocreate_empty_port(ci, id_WEB); + autocreate_empty_port(ci, id_RSTB); + } + } + } + public: void pack() { pack_io(); + pack_ebr(); pack_constants(); pack_dram(); pack_carries(); -- cgit v1.2.3 From d716292e3d3f1aa4ab11238fe8cdf67f584226ec Mon Sep 17 00:00:00 2001 From: David Shah Date: Sat, 6 Oct 2018 15:59:22 +0100 Subject: ecp5: BRAM improvements with constant/inverted inputs Signed-off-by: David Shah --- ecp5/bitstream.cc | 77 +++++++++++++++++++++++++++++++++++++++++++++---------- ecp5/pack.cc | 17 ++++++++++++ 2 files changed, 80 insertions(+), 14 deletions(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index fb2a51cc..3ce2af9b 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -92,9 +92,19 @@ static void tie_cib_signal(Context *ctx, ChipConfig &cc, WireId wire, bool value ++iter; NPNR_ASSERT(!(iter != uphill.end())); // Exactly one uphill pip } + + bool out_value = value; + if (basename.substr(0, 3) == "JCE") + NPNR_ASSERT(value); + if (basename.substr(0, 4) == "JCLK" || basename.substr(0, 4) == "JLSR") { + NPNR_ASSERT(value); + out_value = 0; + } + for (const auto &tile : ctx->getTilesAtLocation(cibsig.location.y, cibsig.location.x)) { if (tile.second.substr(0, 3) == "CIB" || tile.second.substr(0, 4) == "VCIB") { - cc.tiles[tile.first].add_enum("CIB." + basename + "MUX", value ? "1" : "0"); + + cc.tiles[tile.first].add_enum("CIB." + basename + "MUX", out_value ? "1" : "0"); return; } } @@ -367,11 +377,8 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex if (str_or_default(ci->params, ctx->id("MODE"), "LOGIC") == "DPRAM" && slice == "SLICEA") { cc.tiles[tname].add_enum(slice + ".WREMUX", str_or_default(ci->params, ctx->id("WREMUX"), "WRE")); - NetInfo *wcknet = nullptr; std::string wckmux = str_or_default(ci->params, ctx->id("WCKMUX"), "WCK"); wckmux = (wckmux == "WCK") ? "CLK" : wckmux; - if (ci->ports.find(ctx->id("WCK")) != ci->ports.end() && ci->ports.at(ctx->id("WCK")).net != nullptr) - wcknet = ci->ports.at(ctx->id("WCK")).net; cc.tiles[tname].add_enum("CLK1.CLKMUX", wckmux); } @@ -430,10 +437,8 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex tg.config.add_enum(ebr + ".MODE", "DP16KD"); - tg.config.add_word(ebr + ".CSDECODE_A", - str_to_bitvector(str_or_default(ci->params, ctx->id("CSDECODE_A"), "0b000"), 3)); - tg.config.add_word(ebr + ".CSDECODE_B", - str_to_bitvector(str_or_default(ci->params, ctx->id("CSDECODE_B"), "0b000"), 3)); + auto csd_a = str_to_bitvector(str_or_default(ci->params, ctx->id("CSDECODE_A"), "0b000"), 3), + csd_b = str_to_bitvector(str_or_default(ci->params, ctx->id("CSDECODE_B"), "0b000"), 3); tg.config.add_enum(ebr + ".DP16KD.DATA_WIDTH_A", str_or_default(ci->params, ctx->id("DATA_WIDTH_A"), "18")); tg.config.add_enum(ebr + ".DP16KD.DATA_WIDTH_B", str_or_default(ci->params, ctx->id("DATA_WIDTH_B"), "18")); @@ -457,16 +462,60 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex for (auto port : ci->ports) { if (port.second.net == nullptr && port.second.type == PORT_IN) { if (port.first == id_CLKA || port.first == id_CLKB || port.first == id_WEA || - port.first == id_WEB || port.first == id_CEA || port.first == id_CEB || port.first == id_OCEA || - port.first == id_OCEB || port.first == id_RSTA || port.first == id_RSTB) - continue; // these don't seem to have CIB ties + port.first == id_WEB || port.first == id_RSTA || port.first == id_RSTB) { + // CIB clock or LSR. Tie to "1" (also 0 in prjtrellis db?) in CIB + // If MUX doesn't exist, set to INV to emulate default 0 + tie_cib_signal(ctx, cc, ctx->getBelPinWire(ci->bel, port.first), true); + if (!ci->params.count(ctx->id(port.first.str(ctx) + "MUX"))) + ci->params[ctx->id(port.first.str(ctx) + "MUX")] = "INV"; + } else if (port.first == id_CEA || port.first == id_CEB || port.first == id_OCEA || + port.first == id_OCEB) { + // CIB CE. Tie to "1" in CIB + // If MUX doesn't exist, set to passthru to emulate default 1 + tie_cib_signal(ctx, cc, ctx->getBelPinWire(ci->bel, port.first), true); + if (!ci->params.count(ctx->id(port.first.str(ctx) + "MUX"))) + ci->params[ctx->id(port.first.str(ctx) + "MUX")] = port.first.str(ctx); + } else if (port.first == id_CSA0 || port.first == id_CSA1 || port.first == id_CSA2 || + port.first == id_CSB0 || port.first == id_CSB1 || port.first == id_CSB2) { + // CIB CE. Tie to "1" in CIB. + // If MUX doesn't exist, set to INV to emulate default 0 + tie_cib_signal(ctx, cc, ctx->getBelPinWire(ci->bel, port.first), true); + if (!ci->params.count(ctx->id(port.first.str(ctx) + "MUX"))) + ci->params[ctx->id(port.first.str(ctx) + "MUX")] = "INV"; + } else { + // CIB ABCD signal + // Tie signals low unless explicit MUX param specified + bool value = bool_or_default(ci->params, ctx->id(port.first.str(ctx) + "MUX"), false); + tie_cib_signal(ctx, cc, ctx->getBelPinWire(ci->bel, port.first), value); + } + } + } - // Tie signals low unless explicit MUX param specified - bool value = bool_or_default(ci->params, ctx->id(port.first.str(ctx) + "MUX"), false); - tie_cib_signal(ctx, cc, ctx->getBelPinWire(ci->bel, port.first), value); + // Invert CSDECODE bits to emulate inversion muxes on CSA/CSB signals + for (auto port : {std::make_pair("CSA", std::ref(csd_a)), std::make_pair("CSB", std::ref(csd_b))}) { + for (int bit = 0; bit < 3; bit++) { + std::string sig = port.first + std::to_string(bit); + if (str_or_default(ci->params, ctx->id(sig + "MUX"), sig) == "INV") + port.second.at(bit) = !port.second.at(bit); } } + tg.config.add_enum(ebr + ".CLKAMUX", str_or_default(ci->params, ctx->id("CLKAMUX"), "CLKA")); + tg.config.add_enum(ebr + ".CLKBMUX", str_or_default(ci->params, ctx->id("CLKBMUX"), "CLKB")); + + tg.config.add_enum(ebr + ".RSTAMUX", str_or_default(ci->params, ctx->id("RSTAMUX"), "RSTA")); + tg.config.add_enum(ebr + ".RSTBMUX", str_or_default(ci->params, ctx->id("RSTBMUX"), "RSTB")); + tg.config.add_enum(ebr + ".WEAMUX", str_or_default(ci->params, ctx->id("WEAMUX"), "WEA")); + tg.config.add_enum(ebr + ".WEBMUX", str_or_default(ci->params, ctx->id("WEBMUX"), "WEB")); + + tg.config.add_enum(ebr + ".CEAMUX", str_or_default(ci->params, ctx->id("CEAMUX"), "CEA")); + tg.config.add_enum(ebr + ".CEBMUX", str_or_default(ci->params, ctx->id("CEBMUX"), "CEB")); + tg.config.add_enum(ebr + ".OCEAMUX", str_or_default(ci->params, ctx->id("OCEAMUX"), "OCEA")); + tg.config.add_enum(ebr + ".OCEBMUX", str_or_default(ci->params, ctx->id("OCEBMUX"), "OCEB")); + + tg.config.add_word(ebr + ".CSDECODE_A", csd_a); + tg.config.add_word(ebr + ".CSDECODE_B", csd_b); + cc.tilegroups.push_back(tg); } else { NPNR_ASSERT_FALSE("unsupported cell type"); diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 47480dee..ef65fd27 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -844,6 +844,19 @@ class Ecp5Packer ((!constval && str_or_default(uc->params, ctx->id("LSRMUX"), "LSR") == "LSR") || (constval && str_or_default(uc->params, ctx->id("LSRMUX"), "LSR") == "INV"))) { uc->ports[user.port].net = nullptr; + } else if (uc->type == id_DP16KD) { + if (user.port == id_CLKA || user.port == id_CLKB || user.port == id_RSTA || user.port == id_RSTB || + user.port == id_WEA || user.port == id_WEB || user.port == id_CEA || user.port == id_CEB || + user.port == id_OCEA || user.port == id_OCEB || user.port == id_CSA0 || user.port == id_CSA1 || + user.port == id_CSA2 || user.port == id_CSB0 || user.port == id_CSB1 || user.port == id_CSB2) { + // Connect to CIB CLK, LSR or CE. Default state is 1 + uc->params[ctx->id(user.port.str(ctx) + "MUX")] = constval ? user.port.str(ctx) : "INV"; + } else { + // Connected to CIB ABCD. Default state is bitstream configurable + uc->params[ctx->id(user.port.str(ctx) + "MUX")] = constval ? "1" : "0"; + } + uc->ports[user.port].net = nullptr; + } else { uc->ports[user.port].net = constnet; constnet->users.push_back(user); @@ -921,6 +934,8 @@ class Ecp5Packer // Pack EBR void pack_ebr() { + // Autoincrement WID (starting from 3 seems to match vendor behaviour?) + int wid = 3; for (auto cell : sorted(ctx->cells)) { CellInfo *ci = cell.second; if (ci->type == id_DP16KD) { @@ -953,6 +968,8 @@ class Ecp5Packer autocreate_empty_port(ci, id_OCEB); autocreate_empty_port(ci, id_WEB); autocreate_empty_port(ci, id_RSTB); + + ci->attrs[ctx->id("WID")] = std::to_string(wid++); } } } -- cgit v1.2.3 From f7466110a522389c0ab94d464cc4f52d0f62d6f3 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 9 Oct 2018 13:13:16 +0100 Subject: ecp5: Working on BRAM initialisation Signed-off-by: David Shah --- ecp5/bitstream.cc | 57 +++++++++++++++++++++++++++++++++++++++++++++++++++++++ ecp5/config.cc | 24 +++++++++++++++++++++++ ecp5/config.h | 1 + 3 files changed, 82 insertions(+) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 3ce2af9b..88d95439 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -20,6 +20,7 @@ #include "bitstream.h" #include +#include #include #include @@ -111,6 +112,43 @@ static void tie_cib_signal(Context *ctx, ChipConfig &cc, WireId wire, bool value NPNR_ASSERT_FALSE("CIB tile not found at location"); } +inline int chtohex(char c) +{ + static const std::string hex = "0123456789ABCDEF"; + return hex.find(c); +} + +std::vector parse_init_str(const std::string &str, int length) +{ + // Parse a string that may be binary or hex + std::vector result; + result.resize(length, false); + if (str.substr(0, 2) == "0x") { + // Lattice style hex string + if (int(str.length()) > (2 + ((length + 3) / 4))) + log_error("hex string value too long, expected up to %d chars and found %d.\n", (2 + ((length + 3) / 4)), + int(str.length())); + for (int i = 0; i < int(str.length()) - 2; i++) { + char c = str.at((str.size() - i) - 1); + int nibble = chtohex(c); + result.at(i * 4) = nibble & 0x1; + result.at(i * 4 + 1) = nibble & 0x2; + result.at(i * 4 + 2) = nibble & 0x4; + result.at(i * 4 + 3) = nibble & 0x8; + } + } else { + // Yosys style binary string + if (int(str.length()) > length) + log_error("hex string value too long, expected up to %d bits and found %d.\n", length, int(str.length())); + for (int i = 0; i < int(str.length()); i++) { + char c = str.at((str.size() - i) - 1); + NPNR_ASSERT(c == '0' || c == '1' || c == 'X'); + result.at(i) = (c == '1'); + } + } + return result; +} + // Get the PIO tile corresponding to a PIO bel static std::string get_pio_tile(Context *ctx, BelId bel) { @@ -516,6 +554,25 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex tg.config.add_word(ebr + ".CSDECODE_A", csd_a); tg.config.add_word(ebr + ".CSDECODE_B", csd_b); + std::vector init_data; + init_data.resize(2048, 0x0); + // INIT_00 .. INIT_3F + for (int i = 0; i <= 0x3F; i++) { + IdString param = ctx->id("INIT_" + + fmt_str(std::hex << std::uppercase << std::setw(2) << std::setfill('0') << i)); + auto value = parse_init_str(str_or_default(ci->params, param, "0"), 320); + for (int j = 0; j < 16; j++) { + // INIT parameter consists of 16 18-bit words with 2-bit padding + int ofs = 20 * j; + for (int k = 0; k < 18; k++) { + if (init_data.at(ofs + k)) + init_data.at(i * 32 + j * 2 + (k / 9)) |= (1 << (k % 9)); + } + } + } + int wid = int_or_default(ci->attrs, ctx->id("WID"), 0); + NPNR_ASSERT(!cc.bram_data.count(wid)); + cc.bram_data[wid] = init_data; cc.tilegroups.push_back(tg); } else { NPNR_ASSERT_FALSE("unsupported cell type"); diff --git a/ecp5/config.cc b/ecp5/config.cc index 2d4f8b1e..c8f94857 100644 --- a/ecp5/config.cc +++ b/ecp5/config.cc @@ -19,6 +19,7 @@ #include "config.h" #include +#include #include "log.h" NEXTPNR_NAMESPACE_BEGIN @@ -274,6 +275,19 @@ std::ostream &operator<<(std::ostream &out, const ChipConfig &cc) out << std::endl; } } + for (const auto &bram : cc.bram_data) { + out << ".bram_init " << bram.first << std::endl; + std::ios_base::fmtflags f(out.flags()); + for (size_t i = 0; i < bram.second.size(); i++) { + out << std::setw(3) << std::setfill('0') << std::hex << bram.second.at(i); + if (i % 8 == 7) + out << std::endl; + else + out << " "; + } + out.flags(f); + out << std::endl; + } for (const auto &tg : cc.tilegroups) { out << ".tile_group"; for (const auto &tile : tg.tiles) { @@ -316,6 +330,16 @@ std::istream &operator>>(std::istream &in, ChipConfig &cc) } in >> tg.config; cc.tilegroups.push_back(tg); + } else if (verb == ".bram_init") { + uint16_t bram; + in >> bram; + std::ios_base::fmtflags f(in.flags()); + while (!skip_check_eor(in)) { + uint16_t value; + in >> std::hex >> value; + cc.bram_data[bram].push_back(value); + } + in.flags(f); } else { log_error("unrecognised config entry %s\n", verb.c_str()); } diff --git a/ecp5/config.h b/ecp5/config.h index c7e2c3d9..8b38de5d 100644 --- a/ecp5/config.h +++ b/ecp5/config.h @@ -114,6 +114,7 @@ class ChipConfig std::vector metadata; std::map tiles; std::vector tilegroups; + std::map> bram_data; }; std::ostream &operator<<(std::ostream &out, const ChipConfig &cc); -- cgit v1.2.3 From 848ce6d41c270fd44c548fdc367ab191710100c0 Mon Sep 17 00:00:00 2001 From: David Shah Date: Wed, 10 Oct 2018 17:21:37 +0100 Subject: ecp5: Fixing BRAM initialisation Signed-off-by: David Shah --- ecp5/bitstream.cc | 18 ++++++++++++++---- 1 file changed, 14 insertions(+), 4 deletions(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 88d95439..f7a1f960 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -142,13 +142,22 @@ std::vector parse_init_str(const std::string &str, int length) log_error("hex string value too long, expected up to %d bits and found %d.\n", length, int(str.length())); for (int i = 0; i < int(str.length()); i++) { char c = str.at((str.size() - i) - 1); - NPNR_ASSERT(c == '0' || c == '1' || c == 'X'); + NPNR_ASSERT(c == '0' || c == '1' || c == 'X' || c == 'x'); result.at(i) = (c == '1'); } } return result; } +inline uint16_t bit_reverse(uint16_t x, int size) +{ + uint16_t y = 0; + for (int i = 0; i < size; i++) + if (x & (1 << i)) + y |= (1 << ((size - 1) - i)); + return y; +} + // Get the PIO tile corresponding to a PIO bel static std::string get_pio_tile(Context *ctx, BelId bel) { @@ -494,7 +503,8 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex str_or_default(ci->params, ctx->id("ASYNC_RESET_RELEASE"), "SYNC")); tg.config.add_enum(ebr + ".GSR", str_or_default(ci->params, ctx->id("GSR"), "DISABLED")); - tg.config.add_word(ebr + ".WID", int_to_bitvector(int_or_default(ci->attrs, ctx->id("WID"), 0), 9)); + tg.config.add_word(ebr + ".WID", + int_to_bitvector(bit_reverse(int_or_default(ci->attrs, ctx->id("WID"), 0), 9), 9)); // Tie signals as appropriate for (auto port : ci->ports) { @@ -558,14 +568,14 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex init_data.resize(2048, 0x0); // INIT_00 .. INIT_3F for (int i = 0; i <= 0x3F; i++) { - IdString param = ctx->id("INIT_" + + IdString param = ctx->id("INITVAL_" + fmt_str(std::hex << std::uppercase << std::setw(2) << std::setfill('0') << i)); auto value = parse_init_str(str_or_default(ci->params, param, "0"), 320); for (int j = 0; j < 16; j++) { // INIT parameter consists of 16 18-bit words with 2-bit padding int ofs = 20 * j; for (int k = 0; k < 18; k++) { - if (init_data.at(ofs + k)) + if (value.at(ofs + k)) init_data.at(i * 32 + j * 2 + (k / 9)) |= (1 << (k % 9)); } } -- cgit v1.2.3 From bda94aa5a563bc16e4ed437fbc5443467c5a8db4 Mon Sep 17 00:00:00 2001 From: David Shah Date: Thu, 11 Oct 2018 11:51:17 +0100 Subject: ecp5: Fix BRAM tile names Signed-off-by: David Shah --- ecp5/bitstream.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index f7a1f960..79a6e5f5 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -251,7 +251,7 @@ std::vector get_bram_tiles(Context *ctx, BelId bel) static const std::set ebr8 = {"MIB_EBR8", "EBR_SPINE_UL1", "EBR_SPINE_UR1", "EBR_SPINE_LL1", "EBR_CMUX_UL", "EBR_SPINE_LL0", "EBR_CMUX_LL", "EBR_SPINE_LR0", "EBR_SPINE_LR1", "EBR_CMUX_LL_25K", "EBR_SPINE_UL2", "EBR_SPINE_UL0", - "EBR_SPINE_UR2", "EBR_SPINE_LL2", "EBR_SPINE_LR2"}; + "EBR_SPINE_UR2", "EBR_SPINE_LL2", "EBR_SPINE_LR2", "EBR_SPINE_UR0"}; switch (loc.z) { case 0: -- cgit v1.2.3 From 1fc2318c530f85e6c3fe93d7459646b4cfdff68f Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 14 Oct 2018 13:22:47 +0100 Subject: ecp5: Optimise DCC placement Signed-off-by: David Shah --- ecp5/globals.cc | 15 ++++++++++++--- 1 file changed, 12 insertions(+), 3 deletions(-) (limited to 'ecp5') diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 364e4bca..5e5a2a01 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -24,7 +24,7 @@ #include "cells.h" #include "log.h" #include "nextpnr.h" - +#include "place_common.h" #define fmt_str(x) (static_cast(std::ostringstream() << x).str()) NEXTPNR_NAMESPACE_BEGIN @@ -260,15 +260,24 @@ class Ecp5GlobalRouter // Attempt to place a DCC void place_dcc(CellInfo *dcc) { + BelId best_bel; + wirelen_t best_wirelen = 9999999; for (auto bel : ctx->getBels()) { if (ctx->getBelType(bel) == id_DCCA && ctx->checkBelAvail(bel)) { if (ctx->isValidBelForCell(dcc, bel)) { ctx->bindBel(bel, dcc, STRENGTH_LOCKED); - return; + float tns; + wirelen_t wirelen = get_net_metric(ctx, dcc->ports.at(id_CLKI).net, MetricType::WIRELENGTH, tns); + if (wirelen < best_wirelen) { + best_bel = bel; + best_wirelen = wirelen; + } + ctx->unbindBel(bel); } } } - NPNR_ASSERT_FALSE("failed to place dcca"); + NPNR_ASSERT(best_bel != BelId()); + ctx->bindBel(best_bel, dcc, STRENGTH_LOCKED); } // Insert a DCC into a net to promote it to a global -- cgit v1.2.3 From 3aa3f5d796c8ac191ca95181da4c2476bd7d1660 Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 16 Oct 2018 13:30:23 +0100 Subject: ecp5: Add DP16KD timing analysis Signed-off-by: David Shah --- ecp5/arch.cc | 31 +++++++++++++++++++++++++++++-- 1 file changed, 29 insertions(+), 2 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index b3a40a03..ba7a9e0b 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -19,6 +19,7 @@ */ #include +#include #include #include #include "gfx.h" @@ -531,6 +532,19 @@ bool Arch::getCellDelay(const CellInfo *cell, IdString fromPort, IdString toPort return true; } return false; + } else if (cell->type == id_DP16KD) { + if (fromPort == id_CLKA) { + if (toPort.str(this).substr(0, 3) == "DOA") { + delay.delay = 4260; + return true; + } + } else if (fromPort == id_CLKB) { + if (toPort.str(this).substr(0, 3) == "DOB") { + delay.delay = 4280; + return true; + } + } + return false; } else { return false; } @@ -583,8 +597,21 @@ TimingPortClass Arch::getPortTimingClass(const CellInfo *cell, IdString port, Id return TMG_COMB_OUTPUT; return TMG_IGNORE; } else if (cell->type == id_DP16KD) { - // FIXME - return TMG_IGNORE; + if (port == id_CLKA || port == id_CLKB) + return TMG_CLOCK_INPUT; + std::string port_name = port.str(this); + for (auto c : boost::adaptors::reverse(port_name)) { + if (std::isdigit(c)) + continue; + if (c == 'A') + clockPort = id_CLKA; + else if (c == 'B') + clockPort = id_CLKB; + else + NPNR_ASSERT_FALSE_STR("bad ram port"); + return (cell->ports.at(port).type == PORT_OUT) ? TMG_REGISTER_OUTPUT : TMG_REGISTER_INPUT; + } + NPNR_ASSERT_FALSE_STR("no timing type for RAM port '" + port.str(this) + "'"); } else { NPNR_ASSERT_FALSE_STR("no timing data for cell type '" + cell->type.str(this) + "'"); } -- cgit v1.2.3 From 8aac6db44b0ede432cdf1723b8a53ed827aa649d Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 16 Oct 2018 14:37:24 +0100 Subject: ecp5: Add support for correct tile naming in all variants Signed-off-by: David Shah --- ecp5/arch.cc | 30 +++++++++++++++++++++++++++--- ecp5/arch.h | 6 ++++++ ecp5/bitstream.cc | 34 +++++++++++++++++++++++++++++++++- ecp5/main.cc | 18 ++++++++++++++++++ 4 files changed, 84 insertions(+), 4 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index ba7a9e0b..b674e1b7 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -77,11 +77,11 @@ Arch::Arch(ArchArgs args) : args(args) log_error("Unsupported ECP5 chip type.\n"); } #else - if (args.type == ArchArgs::LFE5U_25F) { + if (args.type == ArchArgs::LFE5U_25F || args.type == ArchArgs::LFE5UM_25F || args.type == ArchArgs::LFE5UM5G_25F) { chip_info = get_chip_info(reinterpret_cast *>(chipdb_blob_25k)); - } else if (args.type == ArchArgs::LFE5U_45F) { + } else if (args.type == ArchArgs::LFE5U_45F || args.type == ArchArgs::LFE5UM_45F || args.type == ArchArgs::LFE5UM5G_45F) { chip_info = get_chip_info(reinterpret_cast *>(chipdb_blob_45k)); - } else if (args.type == ArchArgs::LFE5U_85F) { + } else if (args.type == ArchArgs::LFE5U_85F || args.type == ArchArgs::LFE5UM_85F || args.type == ArchArgs::LFE5UM5G_85F) { chip_info = get_chip_info(reinterpret_cast *>(chipdb_blob_85k)); } else { log_error("Unsupported ECP5 chip type.\n"); @@ -111,6 +111,18 @@ std::string Arch::getChipName() const return "LFE5U-45F"; } else if (args.type == ArchArgs::LFE5U_85F) { return "LFE5U-85F"; + } else if (args.type == ArchArgs::LFE5UM_25F) { + return "LFE5UM-25F"; + } else if (args.type == ArchArgs::LFE5UM_45F) { + return "LFE5UM-45F"; + } else if (args.type == ArchArgs::LFE5UM_85F) { + return "LFE5UM-85F"; + } else if (args.type == ArchArgs::LFE5UM5G_25F) { + return "LFE5UM5G-25F"; + } else if (args.type == ArchArgs::LFE5UM5G_45F) { + return "LFE5UM5G-45F"; + } else if (args.type == ArchArgs::LFE5UM5G_85F) { + return "LFE5UM5G-85F"; } else { log_error("Unknown chip\n"); } @@ -126,6 +138,18 @@ IdString Arch::archArgsToId(ArchArgs args) const return id("lfe5u_45f"); if (args.type == ArchArgs::LFE5U_85F) return id("lfe5u_85f"); + if (args.type == ArchArgs::LFE5UM_25F) + return id("lfe5um_25f"); + if (args.type == ArchArgs::LFE5UM_45F) + return id("lfe5um_45f"); + if (args.type == ArchArgs::LFE5UM_85F) + return id("lfe5um_85f"); + if (args.type == ArchArgs::LFE5UM5G_25F) + return id("lfe5um5g_25f"); + if (args.type == ArchArgs::LFE5UM5G_45F) + return id("lfe5um5g_45f"); + if (args.type == ArchArgs::LFE5UM5G_85F) + return id("lfe5um5g_85f"); return IdString(); } diff --git a/ecp5/arch.h b/ecp5/arch.h index 9eac3c9f..35c8df19 100644 --- a/ecp5/arch.h +++ b/ecp5/arch.h @@ -392,6 +392,12 @@ struct ArchArgs LFE5U_25F, LFE5U_45F, LFE5U_85F, + LFE5UM_25F, + LFE5UM_45F, + LFE5UM_85F, + LFE5UM5G_25F, + LFE5UM5G_45F, + LFE5UM5G_85F, } type = NONE; std::string package; int speed = 6; diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 79a6e5f5..7f4e8052 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -279,6 +279,37 @@ std::vector get_bram_tiles(Context *ctx, BelId bel) return tiles; } +void fix_tile_names(Context *ctx, ChipConfig &cc) { + // Remove the V prefix/suffix on certain tiles if device is a SERDES variant + if (ctx->args.type == ArchArgs::LFE5UM_25F || ctx->args.type == ArchArgs::LFE5UM_45F || ctx->args.type == ArchArgs::LFE5UM_85F || + ctx->args.type == ArchArgs::LFE5UM5G_25F || ctx->args.type == ArchArgs::LFE5UM5G_45F || ctx->args.type == ArchArgs::LFE5UM5G_85F) { + std::map tiletype_xform; + for (const auto &tile : cc.tiles) { + std::string newname = tile.first; + auto vcib = tile.first.find("VCIB"); + if (vcib != std::string::npos) { + // Remove the V + newname.erase(vcib); + tiletype_xform[tile.first] = newname; + } else if (tile.first.back() == 'V') { + // BMID_0V or BMID_2V + if (tile.first.at(tile.first.size() - 2) == '0') { + newname.at(tile.first.size() - 1) = 'H'; + tiletype_xform[tile.first] = newname; + } else if (tile.first.at(tile.first.size() - 2) == '2') { + newname.pop_back(); + tiletype_xform[tile.first] = newname; + } + } + } + // Apply the name changes + for (auto xform : tiletype_xform) { + cc.tiles[xform.second] = cc.tiles.at(xform.first); + cc.tiles.erase(xform.first); + } + } +} + void write_bitstream(Context *ctx, std::string base_config_file, std::string text_config_file) { ChipConfig cc; @@ -588,7 +619,8 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex NPNR_ASSERT_FALSE("unsupported cell type"); } } - + // Fixup tile names + fix_tile_names(ctx, cc); // Configure chip if (!text_config_file.empty()) { std::ofstream out_config(text_config_file); diff --git a/ecp5/main.cc b/ecp5/main.cc index 5ad5a9bf..e71b0983 100644 --- a/ecp5/main.cc +++ b/ecp5/main.cc @@ -50,6 +50,12 @@ po::options_description ECP5CommandHandler::getArchOptions() specific.add_options()("25k", "set device type to LFE5U-25F"); specific.add_options()("45k", "set device type to LFE5U-45F"); specific.add_options()("85k", "set device type to LFE5U-85F"); + specific.add_options()("um-25k", "set device type to LFE5UM-25F"); + specific.add_options()("um-45k", "set device type to LFE5UM-45F"); + specific.add_options()("um-85k", "set device type to LFE5UM-85F"); + specific.add_options()("um5g-25k", "set device type to LFE5UM5G-25F"); + specific.add_options()("um5g-45k", "set device type to LFE5UM5G-45F"); + specific.add_options()("um5g-85k", "set device type to LFE5UM5G-85F"); specific.add_options()("package", po::value(), "select device package (defaults to CABGA381)"); specific.add_options()("basecfg", po::value(), "base chip configuration in Trellis text format"); specific.add_options()("textcfg", po::value(), "textual configuration in Trellis format to write"); @@ -84,6 +90,18 @@ std::unique_ptr ECP5CommandHandler::createContext() chipArgs.type = ArchArgs::LFE5U_45F; if (vm.count("85k")) chipArgs.type = ArchArgs::LFE5U_85F; + if (vm.count("um-25k")) + chipArgs.type = ArchArgs::LFE5UM_25F; + if (vm.count("um-45k")) + chipArgs.type = ArchArgs::LFE5UM_45F; + if (vm.count("um-85k")) + chipArgs.type = ArchArgs::LFE5UM_85F; + if (vm.count("um5g-25k")) + chipArgs.type = ArchArgs::LFE5UM5G_25F; + if (vm.count("um5g-45k")) + chipArgs.type = ArchArgs::LFE5UM5G_45F; + if (vm.count("um5g-85k")) + chipArgs.type = ArchArgs::LFE5UM5G_85F; if (vm.count("package")) chipArgs.package = vm["package"].as(); else -- cgit v1.2.3 From 1cde2080902c25d2a59e8ebb5b0b23a90f693e8e Mon Sep 17 00:00:00 2001 From: David Shah Date: Tue, 16 Oct 2018 14:37:58 +0100 Subject: clangformat Signed-off-by: David Shah --- ecp5/arch.cc | 6 ++++-- ecp5/bitstream.cc | 8 +++++--- 2 files changed, 9 insertions(+), 5 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index b674e1b7..1be76c07 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -79,9 +79,11 @@ Arch::Arch(ArchArgs args) : args(args) #else if (args.type == ArchArgs::LFE5U_25F || args.type == ArchArgs::LFE5UM_25F || args.type == ArchArgs::LFE5UM5G_25F) { chip_info = get_chip_info(reinterpret_cast *>(chipdb_blob_25k)); - } else if (args.type == ArchArgs::LFE5U_45F || args.type == ArchArgs::LFE5UM_45F || args.type == ArchArgs::LFE5UM5G_45F) { + } else if (args.type == ArchArgs::LFE5U_45F || args.type == ArchArgs::LFE5UM_45F || + args.type == ArchArgs::LFE5UM5G_45F) { chip_info = get_chip_info(reinterpret_cast *>(chipdb_blob_45k)); - } else if (args.type == ArchArgs::LFE5U_85F || args.type == ArchArgs::LFE5UM_85F || args.type == ArchArgs::LFE5UM5G_85F) { + } else if (args.type == ArchArgs::LFE5U_85F || args.type == ArchArgs::LFE5UM_85F || + args.type == ArchArgs::LFE5UM5G_85F) { chip_info = get_chip_info(reinterpret_cast *>(chipdb_blob_85k)); } else { log_error("Unsupported ECP5 chip type.\n"); diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 7f4e8052..29b12c86 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -279,10 +279,12 @@ std::vector get_bram_tiles(Context *ctx, BelId bel) return tiles; } -void fix_tile_names(Context *ctx, ChipConfig &cc) { +void fix_tile_names(Context *ctx, ChipConfig &cc) +{ // Remove the V prefix/suffix on certain tiles if device is a SERDES variant - if (ctx->args.type == ArchArgs::LFE5UM_25F || ctx->args.type == ArchArgs::LFE5UM_45F || ctx->args.type == ArchArgs::LFE5UM_85F || - ctx->args.type == ArchArgs::LFE5UM5G_25F || ctx->args.type == ArchArgs::LFE5UM5G_45F || ctx->args.type == ArchArgs::LFE5UM5G_85F) { + if (ctx->args.type == ArchArgs::LFE5UM_25F || ctx->args.type == ArchArgs::LFE5UM_45F || + ctx->args.type == ArchArgs::LFE5UM_85F || ctx->args.type == ArchArgs::LFE5UM5G_25F || + ctx->args.type == ArchArgs::LFE5UM5G_45F || ctx->args.type == ArchArgs::LFE5UM5G_85F) { std::map tiletype_xform; for (const auto &tile : cc.tiles) { std::string newname = tile.first; -- cgit v1.2.3 From b5faa7ad102b8308eeb0c73a3849909bf4b1b635 Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 21 Oct 2018 17:15:34 +0100 Subject: ecp5: Implement ECP5 equivalent of c9059fc Signed-off-by: David Shah --- ecp5/arch.cc | 9 +++++++++ 1 file changed, 9 insertions(+) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index 1be76c07..aa9506f9 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -578,6 +578,8 @@ bool Arch::getCellDelay(const CellInfo *cell, IdString fromPort, IdString toPort TimingPortClass Arch::getPortTimingClass(const CellInfo *cell, IdString port, IdString &clockPort) const { + auto disconnected = [cell](IdString p) { return !cell->ports.count(p) || cell->ports.at(p).net == nullptr; }; + if (cell->type == id_TRELLIS_SLICE) { int sd0 = int_or_default(cell->params, id("REG0_SD"), 0), sd1 = int_or_default(cell->params, id("REG1_SD"), 0); if (port == id_CLK || port == id_WCK) @@ -585,6 +587,13 @@ TimingPortClass Arch::getPortTimingClass(const CellInfo *cell, IdString port, Id if (port == id_A0 || port == id_A1 || port == id_B0 || port == id_B1 || port == id_C0 || port == id_C1 || port == id_D0 || port == id_D1 || port == id_FCI || port == id_FXA || port == id_FXB) return TMG_COMB_INPUT; + if (port == id_F0 && disconnected(id_A0) && disconnected(id_B0) && disconnected(id_C0) && disconnected(id_D0) && + disconnected(id_FCI)) + return TMG_IGNORE; // LUT with no inputs is a constant + if (port == id_F1 && disconnected(id_A1) && disconnected(id_B1) && disconnected(id_C1) && disconnected(id_D1) && + disconnected(id_FCI)) + return TMG_IGNORE; // LUT with no inputs is a constant + if (port == id_F0 || port == id_F1 || port == id_FCO || port == id_OFX0 || port == id_OFX1) return TMG_COMB_OUTPUT; if (port == id_DI0 || port == id_DI1 || port == id_CE || port == id_LSR || (sd0 == 1 && port == id_M0) || -- cgit v1.2.3 From 1a06f4b2bdad5736cf881626239d704219d28e2c Mon Sep 17 00:00:00 2001 From: David Shah Date: Sun, 21 Oct 2018 20:03:49 +0100 Subject: ecp5: Adding DSP support Signed-off-by: David Shah --- ecp5/arch.cc | 4 + ecp5/bitstream.cc | 179 ++++++++++++++++ ecp5/constids.inc | 617 +++++++++++++++++++++++++++++++++++++++++++++++++++++- 3 files changed, 799 insertions(+), 1 deletion(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index aa9506f9..ab3e15c0 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -647,6 +647,10 @@ TimingPortClass Arch::getPortTimingClass(const CellInfo *cell, IdString port, Id return (cell->ports.at(port).type == PORT_OUT) ? TMG_REGISTER_OUTPUT : TMG_REGISTER_INPUT; } NPNR_ASSERT_FALSE_STR("no timing type for RAM port '" + port.str(this) + "'"); + } else if (cell->type == id_MULT18X18D) { + return TMG_IGNORE; // FIXME + } else if (cell->type == id_ALU54B) { + return TMG_IGNORE; // FIXME } else { NPNR_ASSERT_FALSE_STR("no timing data for cell type '" + cell->type.str(this) + "'"); } diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 29b12c86..da3d33bb 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -279,6 +279,98 @@ std::vector get_bram_tiles(Context *ctx, BelId bel) return tiles; } +// Get the list of tiles corresponding to a DSP +std::vector get_dsp_tiles(Context *ctx, BelId bel) +{ + std::vector tiles; + Loc loc = ctx->getBelLocation(bel); + + static const std::set dsp8 = {"MIB_DSP8", "DSP_SPINE_UL0", "DSP_SPINE_UR0", "DSP_SPINE_UR1", ""}; + if (ctx->getBelType(bel) == id_MULT18X18D) { + switch (loc.z) { + case 0: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_DSP0")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB2_DSP0")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_DSP1")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB2_DSP1")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB_DSP2")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB2_DSP2")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 3, "MIB_DSP3")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 3, "MIB2_DSP3")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 4, "MIB_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 4, "MIB2_DSP4")); + break; + case 1: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "MIB_DSP0")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "MIB2_DSP0")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_DSP1")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB2_DSP1")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_DSP2")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB2_DSP2")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB_DSP3")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB2_DSP3")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 3, "MIB_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 3, "MIB2_DSP4")); + break; + case 4: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB2_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_DSP5")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB2_DSP5")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB_DSP6")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB2_DSP6")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 3, "MIB_DSP7")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 3, "MIB2_DSP7")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 4, dsp8)); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 4, "MIB2_DSP8")); + break; + case 5: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "MIB_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "MIB2_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_DSP5")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB2_DSP5")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_DSP6")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB2_DSP6")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB_DSP7")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 2, "MIB2_DSP7")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 3, dsp8)); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 3, "MIB2_DSP8")); + break; + default: + NPNR_ASSERT_FALSE("bad MULT z loc"); + } + } else if (ctx->getBelType(bel) == id_ALU54B) { + switch (loc.z) { + case 3: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 3, "MIB_DSP0")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 3, "MIB2_DSP0")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 2, "MIB_DSP1")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 2, "MIB2_DSP1")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "MIB_DSP2")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "MIB2_DSP2")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_DSP3")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB2_DSP3")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB2_DSP4")); + break; + case 7: + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 3, "MIB_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 3, "MIB2_DSP4")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 2, "MIB_DSP5")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 2, "MIB2_DSP5")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "MIB_DSP6")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "MIB2_DSP6")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB_DSP7")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x, "MIB2_DSP7")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, dsp8)); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x + 1, "MIB2_DSP8")); + break; + default: + NPNR_ASSERT_FALSE("bad ALU z loc"); + } + } + return tiles; +} void fix_tile_names(Context *ctx, ChipConfig &cc) { // Remove the V prefix/suffix on certain tiles if device is a SERDES variant @@ -617,10 +709,97 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex NPNR_ASSERT(!cc.bram_data.count(wid)); cc.bram_data[wid] = init_data; cc.tilegroups.push_back(tg); + } else if (ci->type == id_MULT18X18D) { + TileGroup tg; + Loc loc = ctx->getBelLocation(ci->bel); + tg.tiles = get_dsp_tiles(ctx, ci->bel); + std::string dsp = "MULT18_" + std::to_string(loc.z); + tg.config.add_enum(dsp + ".REG_INPUTA_CLK", str_or_default(ci->params, "REG_INPUTA_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTA_CE", str_or_default(ci->params, "REG_INPUTA_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTA_RST", str_or_default(ci->params, "REG_INPUTA_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_INPUTB_CLK", str_or_default(ci->params, "REG_INPUTB_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTB_CE", str_or_default(ci->params, "REG_INPUTB_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTB_RST", str_or_default(ci->params, "REG_INPUTB_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_INPUTC_CLK", str_or_default(ci->params, "REG_INPUTC_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTC_CE", str_or_default(ci->params, "REG_INPUTC_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTC_RST", str_or_default(ci->params, "REG_INPUTC_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_PIPELINE_CLK", str_or_default(ci->params, "REG_PIPELINE_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_PIPELINE_CE", str_or_default(ci->params, "REG_PIPELINE_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_PIPELINE_RST", str_or_default(ci->params, "REG_PIPELINE_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_OUTPUT_CLK", str_or_default(ci->params, "REG_OUTPUT_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_OUTPUT_CE", str_or_default(ci->params, "REG_OUTPUT_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_OUTPUT_RST", str_or_default(ci->params, "REG_OUTPUT_RST", "RST0")); + tg.config.add_enum(dsp + ".CLK0_DIV", str_or_default(ci->params, "CLK0_DIV", "ENABLED")); + tg.config.add_enum(dsp + ".CLK1_DIV", str_or_default(ci->params, "CLK1_DIV", "ENABLED")); + tg.config.add_enum(dsp + ".CLK2_DIV", str_or_default(ci->params, "CLK2_DIV", "ENABLED")); + tg.config.add_enum(dsp + ".CLK3_DIV", str_or_default(ci->params, "CLK3_DIV", "ENABLED")); + tg.config.add_enum(dsp + ".HIGHSPEED_CLK", str_or_default(ci->params, "HIGHSPEED_CLK", "NONE")); + tg.config.add_enum(dsp + ".GSR", str_or_default(ci->params, "GSR", "ENABLED")); + tg.config.add_enum(dsp + ".CAS_MATCH_REG", str_or_default(ci->params, "CAS_MATCH_REG", "FALSE")); + tg.config.add_enum(dsp + ".SOURCEB_MODE", str_or_default(ci->params, "SOURCEB_MODE", "B_SHIFT")); + tg.config.add_enum(dsp + ".MULT_BYPASS", str_or_default(ci->params, "MULT_BYPASS", "DISABLED")); + tg.config.add_enum(dsp + ".RESETMODE", str_or_default(ci->params, "RESETMODE", "SYNC")); + } else if (ci->type == id_ALU54B) { + TileGroup tg; + Loc loc = ctx->getBelLocation(ci->bel); + tg.tiles = get_dsp_tiles(ctx, ci->bel); + std::string dsp = "ALU54_" + std::to_string(loc.z); + tg.config.add_enum(dsp + ".REG_INPUTC0_CLK", str_or_default(ci->params, "REG_INPUTC0_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTC0_CE", str_or_default(ci->params, "REG_INPUTC0_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTC0_RST", str_or_default(ci->params, "REG_INPUTC0_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_INPUTC1_CLK", str_or_default(ci->params, "REG_INPUTC1_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTC1_CE", str_or_default(ci->params, "REG_INPUTC1_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTC1_RST", str_or_default(ci->params, "REG_INPUTC1_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_CLK", str_or_default(ci->params, "REG_OPCODEOP0_0_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_CE", str_or_default(ci->params, "REG_OPCODEOP0_0_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_RST", str_or_default(ci->params, "REG_OPCODEOP0_0_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_OPCODEOP1_0_CLK", str_or_default(ci->params, "REG_OPCODEOP1_0_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_CLK", str_or_default(ci->params, "REG_OPCODEOP0_1_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_CE", str_or_default(ci->params, "REG_OPCODEOP0_1_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_RST", str_or_default(ci->params, "REG_OPCODEOP0_1_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_0_CLK", str_or_default(ci->params, "REG_OPCODEIN_0_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_0_CE", str_or_default(ci->params, "REG_OPCODEIN_0_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_0_RST", str_or_default(ci->params, "REG_OPCODEIN_0_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_1_CLK", str_or_default(ci->params, "REG_OPCODEIN_1_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_1_CE", str_or_default(ci->params, "REG_OPCODEIN_1_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_1_RST", str_or_default(ci->params, "REG_OPCODEIN_1_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_OUTPUT0_CLK", str_or_default(ci->params, "REG_OUTPUT0_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_OUTPUT0_CE", str_or_default(ci->params, "REG_OUTPUT0_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_OUTPUT0_RST", str_or_default(ci->params, "REG_OUTPUT0_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_OUTPUT1_CLK", str_or_default(ci->params, "REG_OUTPUT1_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_OUTPUT1_CE", str_or_default(ci->params, "REG_OUTPUT1_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_OUTPUT1_RST", str_or_default(ci->params, "REG_OUTPUT1_RST", "RST0")); + tg.config.add_enum(dsp + ".REG_FLAG_CLK", str_or_default(ci->params, "REG_FLAG_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_FLAG_CE", str_or_default(ci->params, "REG_FLAG_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_FLAG_RST", str_or_default(ci->params, "REG_FLAG_RST", "RST0")); + tg.config.add_enum(dsp + ".MCPAT_SOURCE", str_or_default(ci->params, "MCPAT_SOURCE", "STATIC")); + tg.config.add_enum(dsp + ".MASKPAT_SOURCE", str_or_default(ci->params, "MASKPAT_SOURCE", "STATIC")); + tg.config.add_word(dsp + ".MASK01", + parse_init_str(str_or_default(ci->params, "MASK01", "0x00000000000000"), 54)); + tg.config.add_enum(dsp + ".REG_INPUTCFB_CLK", str_or_default(ci->params, "REG_INPUTCFB_CLK", "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTCFB_CE", str_or_default(ci->params, "REG_INPUTCFB_CE", "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTCFB_RST", str_or_default(ci->params, "REG_INPUTCFB_RST", "RST0")); + tg.config.add_enum(dsp + ".CLK0_DIV", str_or_default(ci->params, "CLK0_DIV", "ENABLED")); + tg.config.add_enum(dsp + ".CLK1_DIV", str_or_default(ci->params, "CLK1_DIV", "ENABLED")); + tg.config.add_enum(dsp + ".CLK2_DIV", str_or_default(ci->params, "CLK2_DIV", "ENABLED")); + tg.config.add_enum(dsp + ".CLK3_DIV", str_or_default(ci->params, "CLK3_DIV", "ENABLED")); + tg.config.add_word(dsp + ".MCPAT", + parse_init_str(str_or_default(ci->params, "MCPAT", "0x00000000000000"), 54)); + tg.config.add_word(dsp + ".MASKPAT", + parse_init_str(str_or_default(ci->params, "MASKPAT", "0x00000000000000"), 54)); + tg.config.add_word(dsp + ".RNDPAT", + parse_init_str(str_or_default(ci->params, "RNDPAT", "0x00000000000000"), 54)); + tg.config.add_enum(dsp + ".GSR", str_or_default(ci->params, "GSR", "ENABLED")); + tg.config.add_enum(dsp + ".RESETMODE", str_or_default(ci->params, "RESETMODE", "SYNC")); + tg.config.add_enum(dsp + ".MULT9_MODE", str_or_default(ci->params, "MULT9_MODE", "DISABLED")); + tg.config.add_enum(dsp + ".FORCE_ZERO_BARREL_SHIFT", + str_or_default(ci->params, "FORCE_ZERO_BARREL_SHIFT", "DISABLED")); + tg.config.add_enum(dsp + ".LEGACY", str_or_default(ci->params, "LEGACY", "DISABLED")); } else { NPNR_ASSERT_FALSE("unsupported cell type"); } } + // Fixup tile names fix_tile_names(ctx, cc); // Configure chip diff --git a/ecp5/constids.inc b/ecp5/constids.inc index 7880126b..e3bfec6e 100644 --- a/ecp5/constids.inc +++ b/ecp5/constids.inc @@ -171,4 +171,619 @@ X(DOB13) X(DOB14) X(DOB15) X(DOB16) -X(DOB17) \ No newline at end of file +X(DOB17) + + +X(MULT18X18D) +X(A2) +X(A3) +X(A4) +X(A5) +X(A6) +X(A7) +X(A8) +X(A9) +X(A10) +X(A11) +X(A12) +X(A13) +X(A14) +X(A15) +X(A16) +X(A17) +X(B2) +X(B3) +X(B4) +X(B5) +X(B6) +X(B7) +X(B8) +X(B9) +X(B10) +X(B11) +X(B12) +X(B13) +X(B14) +X(B15) +X(B16) +X(B17) +X(C2) +X(C3) +X(C4) +X(C5) +X(C6) +X(C7) +X(C8) +X(C9) +X(C10) +X(C11) +X(C12) +X(C13) +X(C14) +X(C15) +X(C16) +X(C17) +X(SIGNEDA) +X(SIGNEDB) +X(SOURCEA) +X(SOURCEB) +X(CLK0) +X(CLK1) +X(CLK2) +X(CLK3) +X(CE0) +X(CE1) +X(CE2) +X(CE3) +X(RST0) +X(RST1) +X(RST2) +X(RST3) +X(SRIA0) +X(SRIA1) +X(SRIA2) +X(SRIA3) +X(SRIA4) +X(SRIA5) +X(SRIA6) +X(SRIA7) +X(SRIA8) +X(SRIA9) +X(SRIA10) +X(SRIA11) +X(SRIA12) +X(SRIA13) +X(SRIA14) +X(SRIA15) +X(SRIA16) +X(SRIA17) +X(SRIB0) +X(SRIB1) +X(SRIB2) +X(SRIB3) +X(SRIB4) +X(SRIB5) +X(SRIB6) +X(SRIB7) +X(SRIB8) +X(SRIB9) +X(SRIB10) +X(SRIB11) +X(SRIB12) +X(SRIB13) +X(SRIB14) +X(SRIB15) +X(SRIB16) +X(SRIB17) +X(SROA0) +X(SROA1) +X(SROA2) +X(SROA3) +X(SROA4) +X(SROA5) +X(SROA6) +X(SROA7) +X(SROA8) +X(SROA9) +X(SROA10) +X(SROA11) +X(SROA12) +X(SROA13) +X(SROA14) +X(SROA15) +X(SROA16) +X(SROA17) +X(SROB0) +X(SROB1) +X(SROB2) +X(SROB3) +X(SROB4) +X(SROB5) +X(SROB6) +X(SROB7) +X(SROB8) +X(SROB9) +X(SROB10) +X(SROB11) +X(SROB12) +X(SROB13) +X(SROB14) +X(SROB15) +X(SROB16) +X(SROB17) +X(ROA0) +X(ROA1) +X(ROA2) +X(ROA3) +X(ROA4) +X(ROA5) +X(ROA6) +X(ROA7) +X(ROA8) +X(ROA9) +X(ROA10) +X(ROA11) +X(ROA12) +X(ROA13) +X(ROA14) +X(ROA15) +X(ROA16) +X(ROA17) +X(ROB0) +X(ROB1) +X(ROB2) +X(ROB3) +X(ROB4) +X(ROB5) +X(ROB6) +X(ROB7) +X(ROB8) +X(ROB9) +X(ROB10) +X(ROB11) +X(ROB12) +X(ROB13) +X(ROB14) +X(ROB15) +X(ROB16) +X(ROB17) +X(ROC0) +X(ROC1) +X(ROC2) +X(ROC3) +X(ROC4) +X(ROC5) +X(ROC6) +X(ROC7) +X(ROC8) +X(ROC9) +X(ROC10) +X(ROC11) +X(ROC12) +X(ROC13) +X(ROC14) +X(ROC15) +X(ROC16) +X(ROC17) +X(P0) +X(P1) +X(P2) +X(P3) +X(P4) +X(P5) +X(P6) +X(P7) +X(P8) +X(P9) +X(P10) +X(P11) +X(P12) +X(P13) +X(P14) +X(P15) +X(P16) +X(P17) +X(P18) +X(P19) +X(P20) +X(P21) +X(P22) +X(P23) +X(P24) +X(P25) +X(P26) +X(P27) +X(P28) +X(P29) +X(P30) +X(P31) +X(P32) +X(P33) +X(P34) +X(P35) +X(SIGNEDP) + +X(ALU54B) +X(SIGNEDIA) +X(SIGNEDIB) +X(SIGNEDCIN) +X(A18) +X(A19) +X(A20) +X(A21) +X(A22) +X(A23) +X(A24) +X(A25) +X(A26) +X(A27) +X(A28) +X(A29) +X(A30) +X(A31) +X(A32) +X(A33) +X(A34) +X(A35) +X(B18) +X(B19) +X(B20) +X(B21) +X(B22) +X(B23) +X(B24) +X(B25) +X(B26) +X(B27) +X(B28) +X(B29) +X(B30) +X(B31) +X(B32) +X(B33) +X(B34) +X(B35) +X(C18) +X(C19) +X(C20) +X(C21) +X(C22) +X(C23) +X(C24) +X(C25) +X(C26) +X(C27) +X(C28) +X(C29) +X(C30) +X(C31) +X(C32) +X(C33) +X(C34) +X(C35) +X(C36) +X(C37) +X(C38) +X(C39) +X(C40) +X(C41) +X(C42) +X(C43) +X(C44) +X(C45) +X(C46) +X(C47) +X(C48) +X(C49) +X(C50) +X(C51) +X(C52) +X(C53) +X(CFB0) +X(CFB1) +X(CFB2) +X(CFB3) +X(CFB4) +X(CFB5) +X(CFB6) +X(CFB7) +X(CFB8) +X(CFB9) +X(CFB10) +X(CFB11) +X(CFB12) +X(CFB13) +X(CFB14) +X(CFB15) +X(CFB16) +X(CFB17) +X(CFB18) +X(CFB19) +X(CFB20) +X(CFB21) +X(CFB22) +X(CFB23) +X(CFB24) +X(CFB25) +X(CFB26) +X(CFB27) +X(CFB28) +X(CFB29) +X(CFB30) +X(CFB31) +X(CFB32) +X(CFB33) +X(CFB34) +X(CFB35) +X(CFB36) +X(CFB37) +X(CFB38) +X(CFB39) +X(CFB40) +X(CFB41) +X(CFB42) +X(CFB43) +X(CFB44) +X(CFB45) +X(CFB46) +X(CFB47) +X(CFB48) +X(CFB49) +X(CFB50) +X(CFB51) +X(CFB52) +X(CFB53) +X(MA0) +X(MA1) +X(MA2) +X(MA3) +X(MA4) +X(MA5) +X(MA6) +X(MA7) +X(MA8) +X(MA9) +X(MA10) +X(MA11) +X(MA12) +X(MA13) +X(MA14) +X(MA15) +X(MA16) +X(MA17) +X(MA18) +X(MA19) +X(MA20) +X(MA21) +X(MA22) +X(MA23) +X(MA24) +X(MA25) +X(MA26) +X(MA27) +X(MA28) +X(MA29) +X(MA30) +X(MA31) +X(MA32) +X(MA33) +X(MA34) +X(MA35) +X(MB0) +X(MB1) +X(MB2) +X(MB3) +X(MB4) +X(MB5) +X(MB6) +X(MB7) +X(MB8) +X(MB9) +X(MB10) +X(MB11) +X(MB12) +X(MB13) +X(MB14) +X(MB15) +X(MB16) +X(MB17) +X(MB18) +X(MB19) +X(MB20) +X(MB21) +X(MB22) +X(MB23) +X(MB24) +X(MB25) +X(MB26) +X(MB27) +X(MB28) +X(MB29) +X(MB30) +X(MB31) +X(MB32) +X(MB33) +X(MB34) +X(MB35) +X(CIN0) +X(CIN1) +X(CIN2) +X(CIN3) +X(CIN4) +X(CIN5) +X(CIN6) +X(CIN7) +X(CIN8) +X(CIN9) +X(CIN10) +X(CIN11) +X(CIN12) +X(CIN13) +X(CIN14) +X(CIN15) +X(CIN16) +X(CIN17) +X(CIN18) +X(CIN19) +X(CIN20) +X(CIN21) +X(CIN22) +X(CIN23) +X(CIN24) +X(CIN25) +X(CIN26) +X(CIN27) +X(CIN28) +X(CIN29) +X(CIN30) +X(CIN31) +X(CIN32) +X(CIN33) +X(CIN34) +X(CIN35) +X(CIN36) +X(CIN37) +X(CIN38) +X(CIN39) +X(CIN40) +X(CIN41) +X(CIN42) +X(CIN43) +X(CIN44) +X(CIN45) +X(CIN46) +X(CIN47) +X(CIN48) +X(CIN49) +X(CIN50) +X(CIN51) +X(CIN52) +X(CIN53) +X(OP0) +X(OP1) +X(OP2) +X(OP3) +X(OP4) +X(OP5) +X(OP6) +X(OP7) +X(OP8) +X(OP9) +X(OP10) +X(R0) +X(R1) +X(R2) +X(R3) +X(R4) +X(R5) +X(R6) +X(R7) +X(R8) +X(R9) +X(R10) +X(R11) +X(R12) +X(R13) +X(R14) +X(R15) +X(R16) +X(R17) +X(R18) +X(R19) +X(R20) +X(R21) +X(R22) +X(R23) +X(R24) +X(R25) +X(R26) +X(R27) +X(R28) +X(R29) +X(R30) +X(R31) +X(R32) +X(R33) +X(R34) +X(R35) +X(R36) +X(R37) +X(R38) +X(R39) +X(R40) +X(R41) +X(R42) +X(R43) +X(R44) +X(R45) +X(R46) +X(R47) +X(R48) +X(R49) +X(R50) +X(R51) +X(R52) +X(R53) +X(CO0) +X(CO1) +X(CO2) +X(CO3) +X(CO4) +X(CO5) +X(CO6) +X(CO7) +X(CO8) +X(CO9) +X(CO10) +X(CO11) +X(CO12) +X(CO13) +X(CO14) +X(CO15) +X(CO16) +X(CO17) +X(CO18) +X(CO19) +X(CO20) +X(CO21) +X(CO22) +X(CO23) +X(CO24) +X(CO25) +X(CO26) +X(CO27) +X(CO28) +X(CO29) +X(CO30) +X(CO31) +X(CO32) +X(CO33) +X(CO34) +X(CO35) +X(CO36) +X(CO37) +X(CO38) +X(CO39) +X(CO40) +X(CO41) +X(CO42) +X(CO43) +X(CO44) +X(CO45) +X(CO46) +X(CO47) +X(CO48) +X(CO49) +X(CO50) +X(CO51) +X(CO52) +X(CO53) +X(EQZ) +X(EQZM) +X(EQOM) +X(EQPAT) +X(EQPATB) +X(OVER) +X(UNDER) +X(OVERUNDER) +X(SIGNEDR) -- cgit v1.2.3 From 535a6f625a8d1abca54fdc99e4ca40ca84c1f4af Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 22 Oct 2018 11:19:03 +0100 Subject: ecp5: Working on DSPs Signed-off-by: David Shah --- ecp5/bitstream.cc | 230 +++++++++++++++++++++++++++++++++++------------------- ecp5/pack.cc | 53 ++++++++++++- 2 files changed, 200 insertions(+), 83 deletions(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index da3d33bb..60609ffd 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -21,6 +21,7 @@ #include #include +#include #include #include @@ -81,17 +82,23 @@ static std::vector str_to_bitvector(std::string str, int size) static void tie_cib_signal(Context *ctx, ChipConfig &cc, WireId wire, bool value) { static const std::regex cib_re("J([A-D]|CE|LSR|CLK)[0-7]"); - WireId cibsig = wire; - std::string basename = ctx->getWireBasename(wire).str(ctx); - - while (!std::regex_match(basename, cib_re)) { - auto uphill = ctx->getPipsUphill(cibsig); - NPNR_ASSERT(uphill.begin() != uphill.end()); // At least one uphill pip - auto iter = uphill.begin(); - cibsig = ctx->getPipSrcWire(*iter); + std::queue signals; + signals.push(wire); + WireId cibsig; + std::string basename; + log_info("wire %s\n", ctx->getWireName(wire).c_str(ctx)); + while (true) { + NPNR_ASSERT(!signals.empty()); + NPNR_ASSERT(signals.size() < 100); + cibsig = signals.front(); basename = ctx->getWireBasename(cibsig).str(ctx); - ++iter; - NPNR_ASSERT(!(iter != uphill.end())); // Exactly one uphill pip + log_info(" wire %s\n", ctx->getWireName(cibsig).c_str(ctx)); + + signals.pop(); + if (std::regex_match(basename, cib_re)) + break; + for (auto pip : ctx->getPipsUphill(cibsig)) + signals.push(ctx->getPipSrcWire(pip)); } bool out_value = value; @@ -285,7 +292,7 @@ std::vector get_dsp_tiles(Context *ctx, BelId bel) std::vector tiles; Loc loc = ctx->getBelLocation(bel); - static const std::set dsp8 = {"MIB_DSP8", "DSP_SPINE_UL0", "DSP_SPINE_UR0", "DSP_SPINE_UR1", ""}; + static const std::set dsp8 = {"MIB_DSP8", "DSP_SPINE_UL0", "DSP_SPINE_UR0", "DSP_SPINE_UR1"}; if (ctx->getBelType(bel) == id_MULT18X18D) { switch (loc.z) { case 0: @@ -404,6 +411,23 @@ void fix_tile_names(Context *ctx, ChipConfig &cc) } } +void tieoff_dsp_ports(Context *ctx, ChipConfig &cc, CellInfo *ci) +{ + for (auto port : ci->ports) { + if (port.second.net == nullptr && port.second.type == PORT_IN) { + if (port.first.str(ctx).substr(0, 3) == "CLK" || port.first.str(ctx).substr(0, 2) == "CE" || + port.first.str(ctx).substr(0, 3) == "RST" || port.first.str(ctx).substr(0, 3) == "SRO" || + port.first.str(ctx).substr(0, 3) == "SRI" || port.first.str(ctx).substr(0, 2) == "RO" || + port.first.str(ctx).substr(0, 2) == "MA" || port.first.str(ctx).substr(0, 2) == "MB" || + port.first.str(ctx).substr(0, 3) == "CFB" || port.first.str(ctx).substr(0, 3) == "CIN" || + port.first.str(ctx).substr(0, 6) == "SOURCE" || port.first.str(ctx).substr(0, 6) == "SIGNED") + continue; + bool value = bool_or_default(ci->params, ctx->id(port.first.str(ctx) + "MUX"), false); + tie_cib_signal(ctx, cc, ctx->getBelPinWire(ci->bel, port.first), value); + } + } +} + void write_bitstream(Context *ctx, std::string base_config_file, std::string text_config_file) { ChipConfig cc; @@ -714,87 +738,129 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex Loc loc = ctx->getBelLocation(ci->bel); tg.tiles = get_dsp_tiles(ctx, ci->bel); std::string dsp = "MULT18_" + std::to_string(loc.z); - tg.config.add_enum(dsp + ".REG_INPUTA_CLK", str_or_default(ci->params, "REG_INPUTA_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTA_CE", str_or_default(ci->params, "REG_INPUTA_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTA_RST", str_or_default(ci->params, "REG_INPUTA_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_INPUTB_CLK", str_or_default(ci->params, "REG_INPUTB_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTB_CE", str_or_default(ci->params, "REG_INPUTB_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTB_RST", str_or_default(ci->params, "REG_INPUTB_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_INPUTC_CLK", str_or_default(ci->params, "REG_INPUTC_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTC_CE", str_or_default(ci->params, "REG_INPUTC_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTC_RST", str_or_default(ci->params, "REG_INPUTC_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_PIPELINE_CLK", str_or_default(ci->params, "REG_PIPELINE_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_PIPELINE_CE", str_or_default(ci->params, "REG_PIPELINE_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_PIPELINE_RST", str_or_default(ci->params, "REG_PIPELINE_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_OUTPUT_CLK", str_or_default(ci->params, "REG_OUTPUT_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_OUTPUT_CE", str_or_default(ci->params, "REG_OUTPUT_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_OUTPUT_RST", str_or_default(ci->params, "REG_OUTPUT_RST", "RST0")); - tg.config.add_enum(dsp + ".CLK0_DIV", str_or_default(ci->params, "CLK0_DIV", "ENABLED")); - tg.config.add_enum(dsp + ".CLK1_DIV", str_or_default(ci->params, "CLK1_DIV", "ENABLED")); - tg.config.add_enum(dsp + ".CLK2_DIV", str_or_default(ci->params, "CLK2_DIV", "ENABLED")); - tg.config.add_enum(dsp + ".CLK3_DIV", str_or_default(ci->params, "CLK3_DIV", "ENABLED")); - tg.config.add_enum(dsp + ".HIGHSPEED_CLK", str_or_default(ci->params, "HIGHSPEED_CLK", "NONE")); - tg.config.add_enum(dsp + ".GSR", str_or_default(ci->params, "GSR", "ENABLED")); - tg.config.add_enum(dsp + ".CAS_MATCH_REG", str_or_default(ci->params, "CAS_MATCH_REG", "FALSE")); - tg.config.add_enum(dsp + ".SOURCEB_MODE", str_or_default(ci->params, "SOURCEB_MODE", "B_SHIFT")); - tg.config.add_enum(dsp + ".MULT_BYPASS", str_or_default(ci->params, "MULT_BYPASS", "DISABLED")); - tg.config.add_enum(dsp + ".RESETMODE", str_or_default(ci->params, "RESETMODE", "SYNC")); + tg.config.add_enum(dsp + ".REG_INPUTA_CLK", str_or_default(ci->params, ctx->id("REG_INPUTA_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTA_CE", str_or_default(ci->params, ctx->id("REG_INPUTA_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTA_RST", str_or_default(ci->params, ctx->id("REG_INPUTA_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_INPUTB_CLK", str_or_default(ci->params, ctx->id("REG_INPUTB_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTB_CE", str_or_default(ci->params, ctx->id("REG_INPUTB_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTB_RST", str_or_default(ci->params, ctx->id("REG_INPUTB_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_INPUTC_CLK", str_or_default(ci->params, ctx->id("REG_INPUTC_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_PIPELINE_CLK", + str_or_default(ci->params, ctx->id("REG_PIPELINE_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_PIPELINE_CE", str_or_default(ci->params, ctx->id("REG_PIPELINE_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_PIPELINE_RST", + str_or_default(ci->params, ctx->id("REG_PIPELINE_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_OUTPUT_CLK", str_or_default(ci->params, ctx->id("REG_OUTPUT_CLK"), "NONE")); + tg.config.add_enum(dsp + ".CLK0_DIV", str_or_default(ci->params, ctx->id("CLK0_DIV"), "ENABLED")); + tg.config.add_enum(dsp + ".CLK1_DIV", str_or_default(ci->params, ctx->id("CLK1_DIV"), "ENABLED")); + tg.config.add_enum(dsp + ".CLK2_DIV", str_or_default(ci->params, ctx->id("CLK2_DIV"), "ENABLED")); + tg.config.add_enum(dsp + ".CLK3_DIV", str_or_default(ci->params, ctx->id("CLK3_DIV"), "ENABLED")); + tg.config.add_enum(dsp + ".GSR", str_or_default(ci->params, ctx->id("GSR"), "ENABLED")); + tg.config.add_enum(dsp + ".SOURCEB_MODE", str_or_default(ci->params, ctx->id("SOURCEB_MODE"), "B_SHIFT")); + tg.config.add_enum(dsp + ".RESETMODE", str_or_default(ci->params, ctx->id("RESETMODE"), "SYNC")); + + tg.config.add_enum(dsp + ".MODE", "MULT18X18D"); + + if (loc.z < 4) + tg.config.add_enum("DSP_LEFT.CIBOUT", "ON"); + else + tg.config.add_enum("DSP_RIGHT.CIBOUT", "ON"); + + + tieoff_dsp_ports(ctx, cc, ci); + cc.tilegroups.push_back(tg); + } else if (ci->type == id_ALU54B) { TileGroup tg; Loc loc = ctx->getBelLocation(ci->bel); tg.tiles = get_dsp_tiles(ctx, ci->bel); std::string dsp = "ALU54_" + std::to_string(loc.z); - tg.config.add_enum(dsp + ".REG_INPUTC0_CLK", str_or_default(ci->params, "REG_INPUTC0_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTC0_CE", str_or_default(ci->params, "REG_INPUTC0_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTC0_RST", str_or_default(ci->params, "REG_INPUTC0_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_INPUTC1_CLK", str_or_default(ci->params, "REG_INPUTC1_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTC1_CE", str_or_default(ci->params, "REG_INPUTC1_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTC1_RST", str_or_default(ci->params, "REG_INPUTC1_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_CLK", str_or_default(ci->params, "REG_OPCODEOP0_0_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_CE", str_or_default(ci->params, "REG_OPCODEOP0_0_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_RST", str_or_default(ci->params, "REG_OPCODEOP0_0_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_OPCODEOP1_0_CLK", str_or_default(ci->params, "REG_OPCODEOP1_0_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_CLK", str_or_default(ci->params, "REG_OPCODEOP0_1_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_CE", str_or_default(ci->params, "REG_OPCODEOP0_1_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_RST", str_or_default(ci->params, "REG_OPCODEOP0_1_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_OPCODEIN_0_CLK", str_or_default(ci->params, "REG_OPCODEIN_0_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_OPCODEIN_0_CE", str_or_default(ci->params, "REG_OPCODEIN_0_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_OPCODEIN_0_RST", str_or_default(ci->params, "REG_OPCODEIN_0_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_OPCODEIN_1_CLK", str_or_default(ci->params, "REG_OPCODEIN_1_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_OPCODEIN_1_CE", str_or_default(ci->params, "REG_OPCODEIN_1_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_OPCODEIN_1_RST", str_or_default(ci->params, "REG_OPCODEIN_1_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_OUTPUT0_CLK", str_or_default(ci->params, "REG_OUTPUT0_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_OUTPUT0_CE", str_or_default(ci->params, "REG_OUTPUT0_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_OUTPUT0_RST", str_or_default(ci->params, "REG_OUTPUT0_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_OUTPUT1_CLK", str_or_default(ci->params, "REG_OUTPUT1_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_OUTPUT1_CE", str_or_default(ci->params, "REG_OUTPUT1_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_OUTPUT1_RST", str_or_default(ci->params, "REG_OUTPUT1_RST", "RST0")); - tg.config.add_enum(dsp + ".REG_FLAG_CLK", str_or_default(ci->params, "REG_FLAG_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_FLAG_CE", str_or_default(ci->params, "REG_FLAG_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_FLAG_RST", str_or_default(ci->params, "REG_FLAG_RST", "RST0")); - tg.config.add_enum(dsp + ".MCPAT_SOURCE", str_or_default(ci->params, "MCPAT_SOURCE", "STATIC")); - tg.config.add_enum(dsp + ".MASKPAT_SOURCE", str_or_default(ci->params, "MASKPAT_SOURCE", "STATIC")); + tg.config.add_enum(dsp + ".REG_INPUTC0_CLK", + str_or_default(ci->params, ctx->id("REG_INPUTC0_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTC0_CE", str_or_default(ci->params, ctx->id("REG_INPUTC0_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTC0_RST", + str_or_default(ci->params, ctx->id("REG_INPUTC0_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_INPUTC1_CLK", + str_or_default(ci->params, ctx->id("REG_INPUTC1_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTC1_CE", str_or_default(ci->params, ctx->id("REG_INPUTC1_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTC1_RST", + str_or_default(ci->params, ctx->id("REG_INPUTC1_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_CLK", + str_or_default(ci->params, ctx->id("REG_OPCODEOP0_0_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_CE", + str_or_default(ci->params, ctx->id("REG_OPCODEOP0_0_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_RST", + str_or_default(ci->params, ctx->id("REG_OPCODEOP0_0_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_OPCODEOP1_0_CLK", + str_or_default(ci->params, ctx->id("REG_OPCODEOP1_0_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_CLK", + str_or_default(ci->params, ctx->id("REG_OPCODEOP0_1_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_CE", + str_or_default(ci->params, ctx->id("REG_OPCODEOP0_1_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_OPCODEOP0_1_RST", + str_or_default(ci->params, ctx->id("REG_OPCODEOP0_1_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_0_CLK", + str_or_default(ci->params, ctx->id("REG_OPCODEIN_0_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_0_CE", + str_or_default(ci->params, ctx->id("REG_OPCODEIN_0_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_0_RST", + str_or_default(ci->params, ctx->id("REG_OPCODEIN_0_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_1_CLK", + str_or_default(ci->params, ctx->id("REG_OPCODEIN_1_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_1_CE", + str_or_default(ci->params, ctx->id("REG_OPCODEIN_1_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_OPCODEIN_1_RST", + str_or_default(ci->params, ctx->id("REG_OPCODEIN_1_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_OUTPUT0_CLK", + str_or_default(ci->params, ctx->id("REG_OUTPUT0_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_OUTPUT0_CE", str_or_default(ci->params, ctx->id("REG_OUTPUT0_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_OUTPUT0_RST", + str_or_default(ci->params, ctx->id("REG_OUTPUT0_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_OUTPUT1_CLK", + str_or_default(ci->params, ctx->id("REG_OUTPUT1_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_OUTPUT1_CE", str_or_default(ci->params, ctx->id("REG_OUTPUT1_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_OUTPUT1_RST", + str_or_default(ci->params, ctx->id("REG_OUTPUT1_RST"), "RST0")); + tg.config.add_enum(dsp + ".REG_FLAG_CLK", str_or_default(ci->params, ctx->id("REG_FLAG_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_FLAG_CE", str_or_default(ci->params, ctx->id("REG_FLAG_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_FLAG_RST", str_or_default(ci->params, ctx->id("REG_FLAG_RST"), "RST0")); + tg.config.add_enum(dsp + ".MCPAT_SOURCE", str_or_default(ci->params, ctx->id("MCPAT_SOURCE"), "STATIC")); + tg.config.add_enum(dsp + ".MASKPAT_SOURCE", + str_or_default(ci->params, ctx->id("MASKPAT_SOURCE"), "STATIC")); tg.config.add_word(dsp + ".MASK01", - parse_init_str(str_or_default(ci->params, "MASK01", "0x00000000000000"), 54)); - tg.config.add_enum(dsp + ".REG_INPUTCFB_CLK", str_or_default(ci->params, "REG_INPUTCFB_CLK", "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTCFB_CE", str_or_default(ci->params, "REG_INPUTCFB_CE", "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTCFB_RST", str_or_default(ci->params, "REG_INPUTCFB_RST", "RST0")); - tg.config.add_enum(dsp + ".CLK0_DIV", str_or_default(ci->params, "CLK0_DIV", "ENABLED")); - tg.config.add_enum(dsp + ".CLK1_DIV", str_or_default(ci->params, "CLK1_DIV", "ENABLED")); - tg.config.add_enum(dsp + ".CLK2_DIV", str_or_default(ci->params, "CLK2_DIV", "ENABLED")); - tg.config.add_enum(dsp + ".CLK3_DIV", str_or_default(ci->params, "CLK3_DIV", "ENABLED")); + parse_init_str(str_or_default(ci->params, ctx->id("MASK01"), "0x00000000000000"), 54)); + tg.config.add_enum(dsp + ".REG_INPUTCFB_CLK", + str_or_default(ci->params, ctx->id("REG_INPUTCFB_CLK"), "NONE")); + tg.config.add_enum(dsp + ".REG_INPUTCFB_CE", str_or_default(ci->params, ctx->id("REG_INPUTCFB_CE"), "CE0")); + tg.config.add_enum(dsp + ".REG_INPUTCFB_RST", + str_or_default(ci->params, ctx->id("REG_INPUTCFB_RST"), "RST0")); + tg.config.add_enum(dsp + ".CLK0_DIV", str_or_default(ci->params, ctx->id("CLK0_DIV"), "ENABLED")); + tg.config.add_enum(dsp + ".CLK1_DIV", str_or_default(ci->params, ctx->id("CLK1_DIV"), "ENABLED")); + tg.config.add_enum(dsp + ".CLK2_DIV", str_or_default(ci->params, ctx->id("CLK2_DIV"), "ENABLED")); + tg.config.add_enum(dsp + ".CLK3_DIV", str_or_default(ci->params, ctx->id("CLK3_DIV"), "ENABLED")); tg.config.add_word(dsp + ".MCPAT", - parse_init_str(str_or_default(ci->params, "MCPAT", "0x00000000000000"), 54)); + parse_init_str(str_or_default(ci->params, ctx->id("MCPAT"), "0x00000000000000"), 54)); tg.config.add_word(dsp + ".MASKPAT", - parse_init_str(str_or_default(ci->params, "MASKPAT", "0x00000000000000"), 54)); + parse_init_str(str_or_default(ci->params, ctx->id("MASKPAT"), "0x00000000000000"), 54)); tg.config.add_word(dsp + ".RNDPAT", - parse_init_str(str_or_default(ci->params, "RNDPAT", "0x00000000000000"), 54)); - tg.config.add_enum(dsp + ".GSR", str_or_default(ci->params, "GSR", "ENABLED")); - tg.config.add_enum(dsp + ".RESETMODE", str_or_default(ci->params, "RESETMODE", "SYNC")); - tg.config.add_enum(dsp + ".MULT9_MODE", str_or_default(ci->params, "MULT9_MODE", "DISABLED")); + parse_init_str(str_or_default(ci->params, ctx->id("RNDPAT"), "0x00000000000000"), 54)); + tg.config.add_enum(dsp + ".GSR", str_or_default(ci->params, ctx->id("GSR"), "ENABLED")); + tg.config.add_enum(dsp + ".RESETMODE", str_or_default(ci->params, ctx->id("RESETMODE"), "SYNC")); + tg.config.add_enum(dsp + ".MULT9_MODE", str_or_default(ci->params, ctx->id("MULT9_MODE"), "DISABLED")); tg.config.add_enum(dsp + ".FORCE_ZERO_BARREL_SHIFT", - str_or_default(ci->params, "FORCE_ZERO_BARREL_SHIFT", "DISABLED")); - tg.config.add_enum(dsp + ".LEGACY", str_or_default(ci->params, "LEGACY", "DISABLED")); + str_or_default(ci->params, ctx->id("FORCE_ZERO_BARREL_SHIFT"), "DISABLED")); + tg.config.add_enum(dsp + ".LEGACY", str_or_default(ci->params, ctx->id("LEGACY"), "DISABLED")); + + tg.config.add_enum(dsp + ".MODE", "ALU54B"); + + if (loc.z < 4) + tg.config.add_enum("DSP_LEFT.CIBOUT", "ON"); + else + tg.config.add_enum("DSP_RIGHT.CIBOUT", "ON"); + + tieoff_dsp_ports(ctx, cc, ci); + cc.tilegroups.push_back(tg); + } else { NPNR_ASSERT_FALSE("unsupported cell type"); } diff --git a/ecp5/pack.cc b/ecp5/pack.cc index ef65fd27..8aa7f5c9 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -856,7 +856,19 @@ class Ecp5Packer uc->params[ctx->id(user.port.str(ctx) + "MUX")] = constval ? "1" : "0"; } uc->ports[user.port].net = nullptr; - + } else if (uc->type == id_ALU54B || uc->type == id_MULT18X18D) { + if (user.port.str(ctx).substr(0, 3) == "CLK" || user.port.str(ctx).substr(0, 2) == "CE" || + user.port.str(ctx).substr(0, 3) == "RST" || user.port.str(ctx).substr(0, 3) == "SRO" || + user.port.str(ctx).substr(0, 3) == "SRI" || user.port.str(ctx).substr(0, 2) == "RO" || + user.port.str(ctx).substr(0, 2) == "MA" || user.port.str(ctx).substr(0, 2) == "MB" || + user.port.str(ctx).substr(0, 3) == "CFB" || user.port.str(ctx).substr(0, 3) == "CIN" || + user.port.str(ctx).substr(0, 6) == "SOURCE" || user.port.str(ctx).substr(0, 6) == "SIGNED") { + uc->ports[user.port].net = constnet; + constnet->users.push_back(user); + } else { + // Connected to CIB ABCD. Default state is bitstream configurable + uc->params[ctx->id(user.port.str(ctx) + "MUX")] = constval ? "1" : "0"; + } } else { uc->ports[user.port].net = constnet; constnet->users.push_back(user); @@ -974,11 +986,50 @@ class Ecp5Packer } } + // Pack DSPs + void pack_dsps() + { + for (auto cell : sorted(ctx->cells)) { + CellInfo *ci = cell.second; + if (ci->type == id_MULT18X18D) { + // Add ports, even if disconnected, to ensure correct tie-offs + for (auto sig : {"CLK", "CE", "RST"}) + for (int i = 0; i < 4; i++) + autocreate_empty_port(ci, ctx->id(sig + std::to_string(i))); + for (auto sig : {"SIGNED", "SOURCE"}) + for (auto c : {"A", "B"}) + autocreate_empty_port(ci, ctx->id(sig + std::string(c))); + for (auto port : {"A", "B", "C"}) + for (int i = 0; i < 18; i++) + autocreate_empty_port(ci, ctx->id(port + std::to_string(i))); + for (auto port : {"SRIA", "SRIB"}) + for (int i = 0; i < 18; i++) + autocreate_empty_port(ci, ctx->id(port + std::to_string(i))); + } else if (ci->type == id_ALU54B) { + for (auto sig : {"CLK", "CE", "RST"}) + for (int i = 0; i < 4; i++) + autocreate_empty_port(ci, ctx->id(sig + std::to_string(i))); + autocreate_empty_port(ci, id_SIGNEDIA); + autocreate_empty_port(ci, id_SIGNEDIB); + autocreate_empty_port(ci, id_SIGNEDCIN); + for (auto port : {"A", "B", "MA", "MB"}) + for (int i = 0; i < 36; i++) + autocreate_empty_port(ci, ctx->id(port + std::to_string(i))); + for (auto port : {"C", "CFB", "CIN"}) + for (int i = 0; i < 54; i++) + autocreate_empty_port(ci, ctx->id(port + std::to_string(i))); + for (int i = 0; i < 11; i++) + autocreate_empty_port(ci, ctx->id("OP" + std::to_string(i))); + } + } + } + public: void pack() { pack_io(); pack_ebr(); + pack_dsps(); pack_constants(); pack_dram(); pack_carries(); -- cgit v1.2.3 From 0ac48c6a08ff1ed50fbd6edf4f2351a70695530c Mon Sep 17 00:00:00 2001 From: David Shah Date: Mon, 22 Oct 2018 16:18:29 +0100 Subject: ecp5: DSP fixes Signed-off-by: David Shah --- ecp5/bitstream.cc | 71 ++++++++++++++++++++++++++++++------------------------- ecp5/pack.cc | 4 +++- 2 files changed, 42 insertions(+), 33 deletions(-) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index 60609ffd..c7b5d562 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -86,14 +86,11 @@ static void tie_cib_signal(Context *ctx, ChipConfig &cc, WireId wire, bool value signals.push(wire); WireId cibsig; std::string basename; - log_info("wire %s\n", ctx->getWireName(wire).c_str(ctx)); while (true) { NPNR_ASSERT(!signals.empty()); NPNR_ASSERT(signals.size() < 100); cibsig = signals.front(); basename = ctx->getWireBasename(cibsig).str(ctx); - log_info(" wire %s\n", ctx->getWireName(cibsig).c_str(ctx)); - signals.pop(); if (std::regex_match(basename, cib_re)) break; @@ -139,9 +136,12 @@ std::vector parse_init_str(const std::string &str, int length) char c = str.at((str.size() - i) - 1); int nibble = chtohex(c); result.at(i * 4) = nibble & 0x1; - result.at(i * 4 + 1) = nibble & 0x2; - result.at(i * 4 + 2) = nibble & 0x4; - result.at(i * 4 + 3) = nibble & 0x8; + if (i * 4 + 1 < length) + result.at(i * 4 + 1) = nibble & 0x2; + if (i * 4 + 2 < length) + result.at(i * 4 + 2) = nibble & 0x4; + if (i * 4 + 3 < length) + result.at(i * 4 + 3) = nibble & 0x8; } } else { // Yosys style binary string @@ -420,7 +420,8 @@ void tieoff_dsp_ports(Context *ctx, ChipConfig &cc, CellInfo *ci) port.first.str(ctx).substr(0, 3) == "SRI" || port.first.str(ctx).substr(0, 2) == "RO" || port.first.str(ctx).substr(0, 2) == "MA" || port.first.str(ctx).substr(0, 2) == "MB" || port.first.str(ctx).substr(0, 3) == "CFB" || port.first.str(ctx).substr(0, 3) == "CIN" || - port.first.str(ctx).substr(0, 6) == "SOURCE" || port.first.str(ctx).substr(0, 6) == "SIGNED") + port.first.str(ctx).substr(0, 6) == "SOURCE" || port.first.str(ctx).substr(0, 6) == "SIGNED" || + port.first.str(ctx).substr(0, 2) == "OP") continue; bool value = bool_or_default(ci->params, ctx->id(port.first.str(ctx) + "MUX"), false); tie_cib_signal(ctx, cc, ctx->getBelPinWire(ci->bel, port.first), value); @@ -751,6 +752,10 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex tg.config.add_enum(dsp + ".REG_PIPELINE_RST", str_or_default(ci->params, ctx->id("REG_PIPELINE_RST"), "RST0")); tg.config.add_enum(dsp + ".REG_OUTPUT_CLK", str_or_default(ci->params, ctx->id("REG_OUTPUT_CLK"), "NONE")); + if (dsp == "MULT18_0" || dsp == "MULT18_4") + tg.config.add_enum(dsp + ".REG_OUTPUT_RST", + str_or_default(ci->params, ctx->id("REG_OUTPUT_RST"), "RST0")); + tg.config.add_enum(dsp + ".CLK0_DIV", str_or_default(ci->params, ctx->id("CLK0_DIV"), "ENABLED")); tg.config.add_enum(dsp + ".CLK1_DIV", str_or_default(ci->params, ctx->id("CLK1_DIV"), "ENABLED")); tg.config.add_enum(dsp + ".CLK2_DIV", str_or_default(ci->params, ctx->id("CLK2_DIV"), "ENABLED")); @@ -760,12 +765,21 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex tg.config.add_enum(dsp + ".RESETMODE", str_or_default(ci->params, ctx->id("RESETMODE"), "SYNC")); tg.config.add_enum(dsp + ".MODE", "MULT18X18D"); + if (str_or_default(ci->params, ctx->id("REG_OUTPUT_CLK"), "NONE") == "NONE") + tg.config.add_enum(dsp + ".CIBOUT_BYP", "ON"); if (loc.z < 4) tg.config.add_enum("DSP_LEFT.CIBOUT", "ON"); else tg.config.add_enum("DSP_RIGHT.CIBOUT", "ON"); + // Some muxes default to INV, make all pass-thru + for (auto port : {"CLK", "CE", "RST"}) { + for (int i = 0; i < 4; i++) { + std::string sig = port + std::to_string(i); + tg.config.add_enum(dsp + "." + sig + "MUX", sig); + } + } tieoff_dsp_ports(ctx, cc, ci); cc.tilegroups.push_back(tg); @@ -777,14 +791,8 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex std::string dsp = "ALU54_" + std::to_string(loc.z); tg.config.add_enum(dsp + ".REG_INPUTC0_CLK", str_or_default(ci->params, ctx->id("REG_INPUTC0_CLK"), "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTC0_CE", str_or_default(ci->params, ctx->id("REG_INPUTC0_CE"), "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTC0_RST", - str_or_default(ci->params, ctx->id("REG_INPUTC0_RST"), "RST0")); tg.config.add_enum(dsp + ".REG_INPUTC1_CLK", str_or_default(ci->params, ctx->id("REG_INPUTC1_CLK"), "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTC1_CE", str_or_default(ci->params, ctx->id("REG_INPUTC1_CE"), "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTC1_RST", - str_or_default(ci->params, ctx->id("REG_INPUTC1_RST"), "RST0")); tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_CLK", str_or_default(ci->params, ctx->id("REG_OPCODEOP0_0_CLK"), "NONE")); tg.config.add_enum(dsp + ".REG_OPCODEOP0_0_CE", @@ -813,40 +821,26 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex str_or_default(ci->params, ctx->id("REG_OPCODEIN_1_RST"), "RST0")); tg.config.add_enum(dsp + ".REG_OUTPUT0_CLK", str_or_default(ci->params, ctx->id("REG_OUTPUT0_CLK"), "NONE")); - tg.config.add_enum(dsp + ".REG_OUTPUT0_CE", str_or_default(ci->params, ctx->id("REG_OUTPUT0_CE"), "CE0")); - tg.config.add_enum(dsp + ".REG_OUTPUT0_RST", - str_or_default(ci->params, ctx->id("REG_OUTPUT0_RST"), "RST0")); tg.config.add_enum(dsp + ".REG_OUTPUT1_CLK", str_or_default(ci->params, ctx->id("REG_OUTPUT1_CLK"), "NONE")); - tg.config.add_enum(dsp + ".REG_OUTPUT1_CE", str_or_default(ci->params, ctx->id("REG_OUTPUT1_CE"), "CE0")); - tg.config.add_enum(dsp + ".REG_OUTPUT1_RST", - str_or_default(ci->params, ctx->id("REG_OUTPUT1_RST"), "RST0")); tg.config.add_enum(dsp + ".REG_FLAG_CLK", str_or_default(ci->params, ctx->id("REG_FLAG_CLK"), "NONE")); - tg.config.add_enum(dsp + ".REG_FLAG_CE", str_or_default(ci->params, ctx->id("REG_FLAG_CE"), "CE0")); - tg.config.add_enum(dsp + ".REG_FLAG_RST", str_or_default(ci->params, ctx->id("REG_FLAG_RST"), "RST0")); tg.config.add_enum(dsp + ".MCPAT_SOURCE", str_or_default(ci->params, ctx->id("MCPAT_SOURCE"), "STATIC")); tg.config.add_enum(dsp + ".MASKPAT_SOURCE", str_or_default(ci->params, ctx->id("MASKPAT_SOURCE"), "STATIC")); tg.config.add_word(dsp + ".MASK01", - parse_init_str(str_or_default(ci->params, ctx->id("MASK01"), "0x00000000000000"), 54)); - tg.config.add_enum(dsp + ".REG_INPUTCFB_CLK", - str_or_default(ci->params, ctx->id("REG_INPUTCFB_CLK"), "NONE")); - tg.config.add_enum(dsp + ".REG_INPUTCFB_CE", str_or_default(ci->params, ctx->id("REG_INPUTCFB_CE"), "CE0")); - tg.config.add_enum(dsp + ".REG_INPUTCFB_RST", - str_or_default(ci->params, ctx->id("REG_INPUTCFB_RST"), "RST0")); + parse_init_str(str_or_default(ci->params, ctx->id("MASK01"), "0x00000000000000"), 56)); tg.config.add_enum(dsp + ".CLK0_DIV", str_or_default(ci->params, ctx->id("CLK0_DIV"), "ENABLED")); tg.config.add_enum(dsp + ".CLK1_DIV", str_or_default(ci->params, ctx->id("CLK1_DIV"), "ENABLED")); tg.config.add_enum(dsp + ".CLK2_DIV", str_or_default(ci->params, ctx->id("CLK2_DIV"), "ENABLED")); tg.config.add_enum(dsp + ".CLK3_DIV", str_or_default(ci->params, ctx->id("CLK3_DIV"), "ENABLED")); tg.config.add_word(dsp + ".MCPAT", - parse_init_str(str_or_default(ci->params, ctx->id("MCPAT"), "0x00000000000000"), 54)); + parse_init_str(str_or_default(ci->params, ctx->id("MCPAT"), "0x00000000000000"), 56)); tg.config.add_word(dsp + ".MASKPAT", - parse_init_str(str_or_default(ci->params, ctx->id("MASKPAT"), "0x00000000000000"), 54)); + parse_init_str(str_or_default(ci->params, ctx->id("MASKPAT"), "0x00000000000000"), 56)); tg.config.add_word(dsp + ".RNDPAT", - parse_init_str(str_or_default(ci->params, ctx->id("RNDPAT"), "0x00000000000000"), 54)); + parse_init_str(str_or_default(ci->params, ctx->id("RNDPAT"), "0x00000000000000"), 56)); tg.config.add_enum(dsp + ".GSR", str_or_default(ci->params, ctx->id("GSR"), "ENABLED")); tg.config.add_enum(dsp + ".RESETMODE", str_or_default(ci->params, ctx->id("RESETMODE"), "SYNC")); - tg.config.add_enum(dsp + ".MULT9_MODE", str_or_default(ci->params, ctx->id("MULT9_MODE"), "DISABLED")); tg.config.add_enum(dsp + ".FORCE_ZERO_BARREL_SHIFT", str_or_default(ci->params, ctx->id("FORCE_ZERO_BARREL_SHIFT"), "DISABLED")); tg.config.add_enum(dsp + ".LEGACY", str_or_default(ci->params, ctx->id("LEGACY"), "DISABLED")); @@ -857,7 +851,20 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex tg.config.add_enum("DSP_LEFT.CIBOUT", "ON"); else tg.config.add_enum("DSP_RIGHT.CIBOUT", "ON"); - + if (str_or_default(ci->params, ctx->id("REG_FLAG_CLK"), "NONE") == "NONE") { + if (dsp == "ALU54_7") { + tg.config.add_enum("MULT18_5.CIBOUT_BYP", "ON"); + } else if (dsp == "ALU54_3") { + tg.config.add_enum("MULT18_5.CIBOUT_BYP", "ON"); + } + } + if (str_or_default(ci->params, ctx->id("REG_OUTPUT0_CLK"), "NONE") == "NONE") { + if (dsp == "ALU54_7") { + tg.config.add_enum("MULT18_4.CIBOUT_BYP", "ON"); + } else if (dsp == "ALU54_3") { + tg.config.add_enum("MULT18_0.CIBOUT_BYP", "ON"); + } + } tieoff_dsp_ports(ctx, cc, ci); cc.tilegroups.push_back(tg); diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 8aa7f5c9..18debb74 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -862,12 +862,14 @@ class Ecp5Packer user.port.str(ctx).substr(0, 3) == "SRI" || user.port.str(ctx).substr(0, 2) == "RO" || user.port.str(ctx).substr(0, 2) == "MA" || user.port.str(ctx).substr(0, 2) == "MB" || user.port.str(ctx).substr(0, 3) == "CFB" || user.port.str(ctx).substr(0, 3) == "CIN" || - user.port.str(ctx).substr(0, 6) == "SOURCE" || user.port.str(ctx).substr(0, 6) == "SIGNED") { + user.port.str(ctx).substr(0, 6) == "SOURCE" || user.port.str(ctx).substr(0, 6) == "SIGNED" || + user.port.str(ctx).substr(0, 2) == "OP") { uc->ports[user.port].net = constnet; constnet->users.push_back(user); } else { // Connected to CIB ABCD. Default state is bitstream configurable uc->params[ctx->id(user.port.str(ctx) + "MUX")] = constval ? "1" : "0"; + uc->ports[user.port].net = nullptr; } } else { uc->ports[user.port].net = constnet; -- cgit v1.2.3 From db0646be8ac646fc678b967b1bc656e721aa5f0e Mon Sep 17 00:00:00 2001 From: David Shah Date: Wed, 31 Oct 2018 10:48:54 +0000 Subject: ecp5: Adding LPF parser Signed-off-by: David Shah --- ecp5/arch.h | 3 ++ ecp5/lpf.cc | 103 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ecp5/main.cc | 16 ++++++++++ 3 files changed, 122 insertions(+) create mode 100644 ecp5/lpf.cc (limited to 'ecp5') diff --git a/ecp5/arch.h b/ecp5/arch.h index 35c8df19..0a5de822 100644 --- a/ecp5/arch.h +++ b/ecp5/arch.h @@ -917,6 +917,9 @@ struct Arch : BaseCtx GlobalInfoPOD globalInfoAtLoc(Location loc); + // Apply LPF constraints to the context + bool applyLPF(std::string filename, std::istream &in); + IdString id_trellis_slice; IdString id_clk, id_lsr; IdString id_clkmux, id_lsrmux; diff --git a/ecp5/lpf.cc b/ecp5/lpf.cc new file mode 100644 index 00000000..c8e61414 --- /dev/null +++ b/ecp5/lpf.cc @@ -0,0 +1,103 @@ +/* + * nextpnr -- Next Generation Place and Route + * + * Copyright (C) 2018 David Shah + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + */ + +#include +#include "log.h" +#include "log.h" + +NEXTPNR_NAMESPACE_BEGIN + +bool Arch::applyLPF(std::string filename, std::istream &in) +{ + auto isempty = [] (const std::string &str) {return std::all_of(str.begin(), str.end(), [](char c){return isblank(c);});}; + auto strip_quotes = [] (const std::string &str) {if (str.at(0) == '"') { + NPNR_ASSERT(str.back() == '"'); + return str.substr(1, str.size() - 2); + } else { + return str; + }}; + + try { + if (!in) + log_error("failed to open LPF file\n"); + std::string line; + std::string linebuf; + while (std::getline(in, line)) { + size_t cstart = line.find('#'); + if (cstart != std::string::npos) + line = line.substr(0, cstart); + if (isempty(line)) + continue; + linebuf += line; + // Look for a command up to a semicolon + size_t scpos = linebuf.find(';'); + while (scpos != std::string::npos) { + std::string command = linebuf.substr(0, scpos); + // Split command into words + std::stringstream ss(line); + std::vector words; + std::string tmp; + while (ss >> tmp) + words.push_back(tmp); + if (words.size() >= 0) { + std::string verb = words.at(0); + if(verb == "BLOCK" || verb == "SYSCONFIG" || verb == "FREQUENCY") { + log_warning(" ignoring unsupported LPF command '%s'\n", command.c_str()); + } else if (verb == "LOCATE") { + NPNR_ASSERT(words.at(1) == "COMP"); + std::string cell = strip_quotes(words.at(2)); + NPNR_ASSERT(words.at(1) == "SITE"); + auto fnd_cell = cells.find(id(cell)); + if (fnd_cell == cells.end()) { + log_warning("unmatched LPF 'LOCATE COMP' '%s'\n", cell.c_str()); + } else { + fnd_cell->second->attrs[id("LOC")] = strip_quotes(words.at(3)); + } + } else if (verb == "IOBUF") { + NPNR_ASSERT(words.at(1) == "PORT"); + std::string cell = strip_quotes(words.at(2)); + auto fnd_cell = cells.find(id(cell)); + if (fnd_cell == cells.end()) { + log_warning("unmatched LPF 'IOBUF PORT' '%s'\n", cell.c_str()); + } else { + for (size_t i = 3; i < words.size(); i++) { + std::string setting = words.at(i); + size_t eqpos = setting.find('='); + NPNR_ASSERT(eqpos != std::string::npos); + std::string key = setting.substr(0, eqpos), value = setting.substr(eqpos + 1); + fnd_cell->second->attrs[id(key)] = value; + } + } + } + } + + linebuf = linebuf.substr(scpos+1); + scpos = linebuf.find(';'); + } + } + if (!isempty(linebuf)) + log_error("unexpected end of LPF file\n"); + settings.emplace(id("input/lpf"), filename); + return true; + } catch (log_execution_error_exception) { + return false; + } +} + +NEXTPNR_NAMESPACE_END diff --git a/ecp5/main.cc b/ecp5/main.cc index e71b0983..c444f96f 100644 --- a/ecp5/main.cc +++ b/ecp5/main.cc @@ -35,6 +35,7 @@ class ECP5CommandHandler : public CommandHandler virtual ~ECP5CommandHandler(){}; std::unique_ptr createContext() override; void setupArchContext(Context *ctx) override{}; + void customAfterLoad(Context *ctx) override; void validate() override; void customBitstream(Context *ctx) override; @@ -56,9 +57,13 @@ po::options_description ECP5CommandHandler::getArchOptions() specific.add_options()("um5g-25k", "set device type to LFE5UM5G-25F"); specific.add_options()("um5g-45k", "set device type to LFE5UM5G-45F"); specific.add_options()("um5g-85k", "set device type to LFE5UM5G-85F"); + specific.add_options()("package", po::value(), "select device package (defaults to CABGA381)"); specific.add_options()("basecfg", po::value(), "base chip configuration in Trellis text format"); specific.add_options()("textcfg", po::value(), "textual configuration in Trellis format to write"); + + specific.add_options()("lpf", po::value>(), "LPF pin constraint file(s)"); + return specific; } void ECP5CommandHandler::validate() @@ -111,6 +116,17 @@ std::unique_ptr ECP5CommandHandler::createContext() return std::unique_ptr(new Context(chipArgs)); } +void ECP5CommandHandler::customAfterLoad(Context *ctx) +{ + if (vm.count("lpf")) { + std::vector files = vm["lpf"].as>(); + for (const auto &filename : files) { + std::ifstream in(filename); + ctx->applyLPF(filename, in); + } + } +} + int main(int argc, char *argv[]) { ECP5CommandHandler handler(argc, argv); -- cgit v1.2.3 From c782f07b1bbb98d133cfa3c747fa591986abb1cb Mon Sep 17 00:00:00 2001 From: David Shah Date: Wed, 31 Oct 2018 11:30:09 +0000 Subject: ecp5: Add IO buffer insertion Signed-off-by: David Shah --- ecp5/cells.cc | 42 ++++++++++++++++++++++++++++++++++++++++++ ecp5/cells.h | 4 ++++ ecp5/lpf.cc | 29 ++++++++++++++++------------- ecp5/pack.cc | 10 ++++++++-- 4 files changed, 70 insertions(+), 15 deletions(-) (limited to 'ecp5') diff --git a/ecp5/cells.cc b/ecp5/cells.cc index a728104d..31839ee4 100644 --- a/ecp5/cells.cc +++ b/ecp5/cells.cc @@ -343,4 +343,46 @@ void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, CellInfo *ramw } } +void nxio_to_tr(Context *ctx, CellInfo *nxio, CellInfo *trio, std::vector> &created_cells, + std::unordered_set &todelete_cells) +{ + if (nxio->type == ctx->id("$nextpnr_ibuf")) { + trio->params[ctx->id("DIR")] = "INPUT"; + replace_port(nxio, ctx->id("O"), trio, ctx->id("O")); + } else if (nxio->type == ctx->id("$nextpnr_obuf")) { + trio->params[ctx->id("DIR")] = "OUTPUT"; + replace_port(nxio, ctx->id("I"), trio, ctx->id("I")); + } else if (nxio->type == ctx->id("$nextpnr_iobuf")) { + // N.B. tristate will be dealt with below + trio->params[ctx->id("DIR")] = "BIDIR"; + replace_port(nxio, ctx->id("I"), trio, ctx->id("I")); + replace_port(nxio, ctx->id("O"), trio, ctx->id("O")); + } else { + NPNR_ASSERT(false); + } + NetInfo *donet = trio->ports.at(ctx->id("I")).net; + CellInfo *tbuf = net_driven_by( + ctx, donet, [](const Context *ctx, const CellInfo *cell) { return cell->type == ctx->id("$_TBUF_"); }, + ctx->id("Y")); + if (tbuf) { + replace_port(tbuf, ctx->id("I"), trio, ctx->id("I")); + // Need to invert E to form T + std::unique_ptr inv_lut = create_ecp5_cell(ctx, ctx->id("LUT4"), trio->name.str(ctx) + "$invert_T"); + replace_port(tbuf, ctx->id("E"), inv_lut.get(), ctx->id("A")); + inv_lut->params[ctx->id("INIT")] = "21845"; + connect_ports(ctx, inv_lut.get(), ctx->id("Z"), trio, ctx->id("T")); + created_cells.push_back(std::move(inv_lut)); + + if (donet->users.size() > 1) { + for (auto user : donet->users) + log_info(" remaining tristate user: %s.%s\n", user.cell->name.c_str(ctx), user.port.c_str(ctx)); + log_error("unsupported tristate IO pattern for IO buffer '%s', " + "instantiate SB_IO manually to ensure correct behaviour\n", + nxio->name.c_str(ctx)); + } + ctx->nets.erase(donet->name); + todelete_cells.insert(tbuf->name); + } +} + NEXTPNR_NAMESPACE_END diff --git a/ecp5/cells.h b/ecp5/cells.h index a5229fe0..9c2ff3cf 100644 --- a/ecp5/cells.h +++ b/ecp5/cells.h @@ -52,6 +52,10 @@ void ccu2c_to_slice(Context *ctx, CellInfo *ccu, CellInfo *lc); void dram_to_ramw(Context *ctx, CellInfo *ram, CellInfo *lc); void dram_to_ram_slice(Context *ctx, CellInfo *ram, CellInfo *lc, CellInfo *ramw, int index); +// Convert a nextpnr IO buffer to a TRELLIS_IO +void nxio_to_tr(Context *ctx, CellInfo *nxio, CellInfo *trio, std::vector> &created_cells, + std::unordered_set &todelete_cells); + NEXTPNR_NAMESPACE_END #endif diff --git a/ecp5/lpf.cc b/ecp5/lpf.cc index c8e61414..4bde660e 100644 --- a/ecp5/lpf.cc +++ b/ecp5/lpf.cc @@ -19,19 +19,22 @@ #include #include "log.h" -#include "log.h" NEXTPNR_NAMESPACE_BEGIN bool Arch::applyLPF(std::string filename, std::istream &in) { - auto isempty = [] (const std::string &str) {return std::all_of(str.begin(), str.end(), [](char c){return isblank(c);});}; - auto strip_quotes = [] (const std::string &str) {if (str.at(0) == '"') { - NPNR_ASSERT(str.back() == '"'); - return str.substr(1, str.size() - 2); - } else { - return str; - }}; + auto isempty = [](const std::string &str) { + return std::all_of(str.begin(), str.end(), [](char c) { return isblank(c); }); + }; + auto strip_quotes = [](const std::string &str) { + if (str.at(0) == '"') { + NPNR_ASSERT(str.back() == '"'); + return str.substr(1, str.size() - 2); + } else { + return str; + } + }; try { if (!in) @@ -50,24 +53,24 @@ bool Arch::applyLPF(std::string filename, std::istream &in) while (scpos != std::string::npos) { std::string command = linebuf.substr(0, scpos); // Split command into words - std::stringstream ss(line); + std::stringstream ss(command); std::vector words; std::string tmp; while (ss >> tmp) words.push_back(tmp); if (words.size() >= 0) { std::string verb = words.at(0); - if(verb == "BLOCK" || verb == "SYSCONFIG" || verb == "FREQUENCY") { + if (verb == "BLOCK" || verb == "SYSCONFIG" || verb == "FREQUENCY") { log_warning(" ignoring unsupported LPF command '%s'\n", command.c_str()); } else if (verb == "LOCATE") { NPNR_ASSERT(words.at(1) == "COMP"); std::string cell = strip_quotes(words.at(2)); - NPNR_ASSERT(words.at(1) == "SITE"); + NPNR_ASSERT(words.at(3) == "SITE"); auto fnd_cell = cells.find(id(cell)); if (fnd_cell == cells.end()) { log_warning("unmatched LPF 'LOCATE COMP' '%s'\n", cell.c_str()); } else { - fnd_cell->second->attrs[id("LOC")] = strip_quotes(words.at(3)); + fnd_cell->second->attrs[id("LOC")] = strip_quotes(words.at(4)); } } else if (verb == "IOBUF") { NPNR_ASSERT(words.at(1) == "PORT"); @@ -87,7 +90,7 @@ bool Arch::applyLPF(std::string filename, std::istream &in) } } - linebuf = linebuf.substr(scpos+1); + linebuf = linebuf.substr(scpos + 1); scpos = linebuf.find(';'); } } diff --git a/ecp5/pack.cc b/ecp5/pack.cc index 18debb74..cb111fc6 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -266,11 +266,17 @@ class Ecp5Packer } } } else { - log_error("TRELLIS_IO required on all top level IOs...\n"); + // Create a TRELLIS_IO buffer + std::unique_ptr tr_cell = + create_ecp5_cell(ctx, ctx->id("TRELLIS_IO"), ci->name.str(ctx) + "$tr_io"); + nxio_to_tr(ctx, ci, tr_cell.get(), new_cells, packed_cells); + new_cells.push_back(std::move(tr_cell)); + trio = new_cells.back().get(); } packed_cells.insert(ci->name); - std::copy(ci->attrs.begin(), ci->attrs.end(), std::inserter(trio->attrs, trio->attrs.begin())); + for (const auto &attr : ci->attrs) + trio->attrs[attr.first] = attr.second; auto loc_attr = trio->attrs.find(ctx->id("LOC")); if (loc_attr != trio->attrs.end()) { -- cgit v1.2.3 From 24a2feda30c89579a761ad8898c40dc27afc4100 Mon Sep 17 00:00:00 2001 From: David Shah Date: Wed, 31 Oct 2018 16:22:34 +0000 Subject: ecp5: Separate global promotion and routing Signed-off-by: David Shah --- ecp5/arch.h | 2 +- ecp5/globals.cc | 115 ++++++++++++++++++++++++++++++++++++++++---------------- ecp5/globals.h | 1 + ecp5/pack.cc | 2 + 4 files changed, 87 insertions(+), 33 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.h b/ecp5/arch.h index 0a5de822..583d539f 100644 --- a/ecp5/arch.h +++ b/ecp5/arch.h @@ -491,7 +491,7 @@ struct Arch : BaseCtx BelId getBelByLocation(Loc loc) const; BelRange getBelsByTile(int x, int y) const; - bool getBelGlobalBuf(BelId bel) const { return false; } + bool getBelGlobalBuf(BelId bel) const { return getBelType(bel) == id_DCCA; } bool checkBelAvail(BelId bel) const { diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 5e5a2a01..9afa2bd8 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -25,6 +25,7 @@ #include "log.h" #include "nextpnr.h" #include "place_common.h" +#include "util.h" #define fmt_str(x) (static_cast(std::ostringstream() << x).str()) NEXTPNR_NAMESPACE_BEGIN @@ -257,6 +258,45 @@ class Ecp5GlobalRouter return true; } + // Get DCC wirelength based on source + wirelen_t get_dcc_wirelen(CellInfo *dcc) + { + NetInfo *clki = dcc->ports.at(id_CLKI).net; + BelId drv_bel; + const PortRef &drv = clki->driver; + if (drv.cell == nullptr) { + return 0; + } else if (drv.cell->attrs.count(ctx->id("BEL"))) { + drv_bel = ctx->getBelByName(ctx->id(drv.cell->attrs.at(ctx->id("BEL")))); + } else { + // Check if driver is a singleton + BelId last_bel; + bool singleton = true; + for (auto bel : ctx->getBels()) { + if (ctx->getBelType(bel) == drv.cell->type) { + if (last_bel != BelId()) { + singleton = false; + break; + } + last_bel = bel; + } + } + if (singleton && last_bel != BelId()) { + drv_bel = last_bel; + } + } + if (drv_bel == BelId()) { + // Driver is not locked. Use standard metric + float tns; + return get_net_metric(ctx, clki, MetricType::WIRELENGTH, tns); + } else { + // Driver is locked + Loc dcc_loc = ctx->getBelLocation(dcc->bel); + Loc drv_loc = ctx->getBelLocation(drv_bel); + return std::abs(dcc_loc.x - drv_loc.x) + std::abs(dcc_loc.y - drv_loc.y); + } + } + // Attempt to place a DCC void place_dcc(CellInfo *dcc) { @@ -266,8 +306,7 @@ class Ecp5GlobalRouter if (ctx->getBelType(bel) == id_DCCA && ctx->checkBelAvail(bel)) { if (ctx->isValidBelForCell(dcc, bel)) { ctx->bindBel(bel, dcc, STRENGTH_LOCKED); - float tns; - wirelen_t wirelen = get_net_metric(ctx, dcc->ports.at(id_CLKI).net, MetricType::WIRELENGTH, tns); + wirelen_t wirelen = get_dcc_wirelen(dcc); if (wirelen < best_wirelen) { best_bel = bel; best_wirelen = wirelen; @@ -322,47 +361,59 @@ class Ecp5GlobalRouter Context *ctx; public: - void promote_and_route_globals() + void promote_globals() { - log_info("Promoting and routing globals...\n"); + log_info("Promoting globals...\n"); auto clocks = get_clocks(); + for (auto clock : clocks) { + log_info(" promoting clock net %s to global network\n", clock->name.c_str(ctx)); + insert_dcc(clock); + } + } + + void route_globals() + { + log_info("Routing globals...\n"); std::set all_globals, fab_globals; for (int i = 0; i < 16; i++) { all_globals.insert(i); if (i < 8) fab_globals.insert(i); } - for (auto clock : clocks) { - bool drives_fabric = std::any_of(clock->users.begin(), clock->users.end(), - [this](const PortRef &port) { return !is_clock_port(port); }); - int glbid; - if (drives_fabric) { - if (fab_globals.empty()) - continue; - glbid = *(fab_globals.begin()); - } else { - glbid = *(all_globals.begin()); - } - all_globals.erase(glbid); - fab_globals.erase(glbid); - log_info(" promoting clock net %s to global %d\n", clock->name.c_str(ctx), glbid); - auto old_users = clock->users; - NetInfo *global = insert_dcc(clock); - bool routed = route_onto_global(global, glbid); - NPNR_ASSERT(routed); - - // WCK must have routing priority - auto sorted_users = global->users; - std::sort(sorted_users.begin(), sorted_users.end(), [this](const PortRef &a, const PortRef &b) { - return global_route_priority(a) < global_route_priority(b); - }); - for (const auto &user : sorted_users) { - route_logic_tile_global(global, glbid, user); + for (auto cell : sorted(ctx->cells)) { + CellInfo *ci = cell.second; + if (ci->type == id_DCCA) { + NetInfo *clock = ci->ports.at(id_CLKO).net; + NPNR_ASSERT(clock != nullptr); + bool drives_fabric = std::any_of(clock->users.begin(), clock->users.end(), + [this](const PortRef &port) { return !is_clock_port(port); }); + + int glbid; + if (drives_fabric) { + if (fab_globals.empty()) + continue; + glbid = *(fab_globals.begin()); + } else { + glbid = *(all_globals.begin()); + } + + log_info(" routing clock net %s using global %d\n", clock->name.c_str(ctx), glbid); + bool routed = route_onto_global(clock, glbid); + NPNR_ASSERT(routed); + + // WCK must have routing priority + auto sorted_users = clock->users; + std::sort(sorted_users.begin(), sorted_users.end(), [this](const PortRef &a, const PortRef &b) { + return global_route_priority(a) < global_route_priority(b); + }); + for (const auto &user : sorted_users) { + route_logic_tile_global(clock, glbid, user); + } } } } }; - -void route_ecp5_globals(Context *ctx) { Ecp5GlobalRouter(ctx).promote_and_route_globals(); } +void promote_ecp5_globals(Context *ctx) { Ecp5GlobalRouter(ctx).promote_globals(); } +void route_ecp5_globals(Context *ctx) { Ecp5GlobalRouter(ctx).route_globals(); } NEXTPNR_NAMESPACE_END diff --git a/ecp5/globals.h b/ecp5/globals.h index 23e25c8d..cc7cf98e 100644 --- a/ecp5/globals.h +++ b/ecp5/globals.h @@ -21,6 +21,7 @@ NEXTPNR_NAMESPACE_BEGIN +void promote_ecp5_globals(Context *ctx); void route_ecp5_globals(Context *ctx); NEXTPNR_NAMESPACE_END \ No newline at end of file diff --git a/ecp5/pack.cc b/ecp5/pack.cc index cb111fc6..73e15609 100644 --- a/ecp5/pack.cc +++ b/ecp5/pack.cc @@ -24,6 +24,7 @@ #include "cells.h" #include "chain_utils.h" #include "design_utils.h" +#include "globals.h" #include "log.h" #include "util.h" NEXTPNR_NAMESPACE_BEGIN @@ -1047,6 +1048,7 @@ class Ecp5Packer pack_lut_pairs(); pack_remaining_luts(); pack_remaining_ffs(); + promote_ecp5_globals(ctx); ctx->check(); } -- cgit v1.2.3 From e005cc675417c4a10bfda321db2bca19c80a722f Mon Sep 17 00:00:00 2001 From: David Shah Date: Wed, 31 Oct 2018 19:52:41 +0000 Subject: ecp5: Add PLL support Signed-off-by: David Shah --- ecp5/arch.cc | 2 + ecp5/bitstream.cc | 137 ++++++++++++++++++++++++++++++++++++++++++++++++++++-- ecp5/constids.inc | 23 +++++++++ ecp5/globals.cc | 13 ++++-- 4 files changed, 168 insertions(+), 7 deletions(-) (limited to 'ecp5') diff --git a/ecp5/arch.cc b/ecp5/arch.cc index ab3e15c0..4a0b31b5 100644 --- a/ecp5/arch.cc +++ b/ecp5/arch.cc @@ -651,6 +651,8 @@ TimingPortClass Arch::getPortTimingClass(const CellInfo *cell, IdString port, Id return TMG_IGNORE; // FIXME } else if (cell->type == id_ALU54B) { return TMG_IGNORE; // FIXME + } else if (cell->type == id_EHXPLLL) { + return TMG_IGNORE; } else { NPNR_ASSERT_FALSE_STR("no timing data for cell type '" + cell->type.str(this) + "'"); } diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index c7b5d562..b8d9fdda 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -378,6 +378,32 @@ std::vector get_dsp_tiles(Context *ctx, BelId bel) } return tiles; } + +// Get the list of tiles corresponding to a PLL +std::vector get_pll_tiles(Context *ctx, BelId bel) +{ + std::string name = ctx->locInfo(bel)->bel_data[bel.index].name.get(); + std::vector tiles; + Loc loc = ctx->getBelLocation(bel); + + if (name == "EHXPLL_UL") { + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "PLL0_UL")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y + 1, loc.x - 1, "PLL1_UL")); + } else if (name == "EHXPLL_LL") { + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y + 1, loc.x, "PLL0_LL")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y + 1, loc.x + 1, "BANKREF8")); + } else if (name == "EHXPLL_LR") { + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y + 1, loc.x, "PLL0_LR")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y + 1, loc.x - 1, "PLL1_LR")); + } else if (name == "EHXPLL_UR") { + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y, loc.x - 1, "PLL0_UR")); + tiles.push_back(ctx->getTileByTypeAndLocation(loc.y + 1, loc.x - 1, "PLL1_UR")); + } else { + NPNR_ASSERT_FALSE_STR("bad PLL loc " + name); + } + return tiles; +} + void fix_tile_names(Context *ctx, ChipConfig &cc) { // Remove the V prefix/suffix on certain tiles if device is a SERDES variant @@ -390,7 +416,7 @@ void fix_tile_names(Context *ctx, ChipConfig &cc) auto vcib = tile.first.find("VCIB"); if (vcib != std::string::npos) { // Remove the V - newname.erase(vcib); + newname.erase(vcib, 1); tiletype_xform[tile.first] = newname; } else if (tile.first.back() == 'V') { // BMID_0V or BMID_2V @@ -429,6 +455,14 @@ void tieoff_dsp_ports(Context *ctx, ChipConfig &cc, CellInfo *ci) } } +static void set_pip(Context *ctx, ChipConfig &cc, PipId pip) +{ + std::string tile = ctx->getPipTilename(pip); + std::string source = get_trellis_wirename(ctx, pip.location, ctx->getPipSrcWire(pip)); + std::string sink = get_trellis_wirename(ctx, pip.location, ctx->getPipDstWire(pip)); + cc.tiles[tile].add_arc(sink, source); +} + void write_bitstream(Context *ctx, std::string base_config_file, std::string text_config_file) { ChipConfig cc; @@ -450,10 +484,16 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex for (auto pip : ctx->getPips()) { if (ctx->getBoundPipNet(pip) != nullptr) { if (ctx->getPipClass(pip) == 0) { // ignore fixed pips - std::string tile = ctx->getPipTilename(pip); std::string source = get_trellis_wirename(ctx, pip.location, ctx->getPipSrcWire(pip)); - std::string sink = get_trellis_wirename(ctx, pip.location, ctx->getPipDstWire(pip)); - cc.tiles[tile].add_arc(sink, source); + if (source.find("CLKI_PLL") != std::string::npos) { + // Special case - must set pip in all relevant tiles + for (auto equiv_pip : ctx->getPipsUphill(ctx->getPipDstWire(pip))) { + if (ctx->getPipSrcWire(equiv_pip) == ctx->getPipSrcWire(pip)) + set_pip(ctx, cc, equiv_pip); + } + } else { + set_pip(ctx, cc, pip); + } } } } @@ -867,7 +907,96 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex } tieoff_dsp_ports(ctx, cc, ci); cc.tilegroups.push_back(tg); + } else if (ci->type == id_EHXPLLL) { + TileGroup tg; + tg.tiles = get_pll_tiles(ctx, ci->bel); + + tg.config.add_enum("MODE", "EHXPLLL"); + + tg.config.add_word("CLKI_DIV", int_to_bitvector(int_or_default(ci->params, ctx->id("CLKI_DIV"), 1) - 1, 7)); + tg.config.add_word("CLKFB_DIV", + int_to_bitvector(int_or_default(ci->params, ctx->id("CLKFB_DIV"), 1) - 1, 7)); + + tg.config.add_enum("CLKOP_ENABLE", str_or_default(ci->params, ctx->id("CLKOP_ENABLE"), "ENABLED")); + tg.config.add_enum("CLKOS_ENABLE", str_or_default(ci->params, ctx->id("CLKOS_ENABLE"), "ENABLED")); + tg.config.add_enum("CLKOS2_ENABLE", str_or_default(ci->params, ctx->id("CLKOS2_ENABLE"), "ENABLED")); + tg.config.add_enum("CLKOS3_ENABLE", str_or_default(ci->params, ctx->id("CLKOS3_ENABLE"), "ENABLED")); + + for (std::string out : {"CLKOP", "CLKOS", "CLKOS2", "CLKOS3"}) { + tg.config.add_word(out + "_DIV", + int_to_bitvector(int_or_default(ci->params, ctx->id(out + "_DIV"), 8) - 1, 7)); + tg.config.add_word(out + "_CPHASE", + int_to_bitvector(int_or_default(ci->params, ctx->id(out + "_CPHASE"), 0), 7)); + tg.config.add_word(out + "_FPHASE", + int_to_bitvector(int_or_default(ci->params, ctx->id(out + "_FPHASE"), 0), 3)); + } + tg.config.add_enum("FEEDBK_PATH", str_or_default(ci->params, ctx->id("FEEDBK_PATH"), "CLKOP")); + tg.config.add_enum("CLKOP_TRIM_POL", str_or_default(ci->params, ctx->id("CLKOP_TRIM_POL"), "RISING")); + tg.config.add_enum("CLKOP_TRIM_DELAY", str_or_default(ci->params, ctx->id("CLKOP_TRIM_DELAY"), "0")); + tg.config.add_enum("CLKOS_TRIM_POL", str_or_default(ci->params, ctx->id("CLKOS_TRIM_POL"), "RISING")); + tg.config.add_enum("CLKOS_TRIM_DELAY", str_or_default(ci->params, ctx->id("CLKOS_TRIM_DELAY"), "0")); + + tg.config.add_enum("OUTDIVIDER_MUXA", str_or_default(ci->params, ctx->id("OUTDIVIDER_MUXA"), + get_net_or_empty(ci, id_CLKOP) ? "DIVA" : "REFCLK")); + tg.config.add_enum("OUTDIVIDER_MUXB", str_or_default(ci->params, ctx->id("OUTDIVIDER_MUXB"), + get_net_or_empty(ci, id_CLKOP) ? "DIVB" : "REFCLK")); + tg.config.add_enum("OUTDIVIDER_MUXC", str_or_default(ci->params, ctx->id("OUTDIVIDER_MUXC"), + get_net_or_empty(ci, id_CLKOP) ? "DIVC" : "REFCLK")); + tg.config.add_enum("OUTDIVIDER_MUXD", str_or_default(ci->params, ctx->id("OUTDIVIDER_MUXD"), + get_net_or_empty(ci, id_CLKOP) ? "DIVD" : "REFCLK")); + + tg.config.add_word("PLL_LOCK_MODE", + int_to_bitvector(int_or_default(ci->params, ctx->id("PLL_LOCK_MODE"), 0), 3)); + + tg.config.add_enum("STDBY_ENABLE", str_or_default(ci->params, ctx->id("STDBY_ENABLE"), "DISABLED")); + tg.config.add_enum("REFIN_RESET", str_or_default(ci->params, ctx->id("REFIN_RESET"), "DISABLED")); + tg.config.add_enum("SYNC_ENABLE", str_or_default(ci->params, ctx->id("SYNC_ENABLE"), "DISABLED")); + tg.config.add_enum("INT_LOCK_STICKY", str_or_default(ci->params, ctx->id("INT_LOCK_STICKY"), "ENABLED")); + tg.config.add_enum("DPHASE_SOURCE", str_or_default(ci->params, ctx->id("DPHASE_SOURCE"), "DISABLED")); + tg.config.add_enum("PLLRST_ENA", str_or_default(ci->params, ctx->id("PLLRST_ENA"), "DISABLED")); + tg.config.add_enum("INTFB_WAKE", str_or_default(ci->params, ctx->id("INTFB_WAKE"), "DISABLED")); + + tg.config.add_word("KVCO", int_to_bitvector(int_or_default(ci->attrs, ctx->id("KVCO"), 0), 3)); + tg.config.add_word("LPF_CAPACITOR", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("LPF_CAPACITOR"), 0), 2)); + tg.config.add_word("LPF_RESISTOR", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("LPF_RESISTOR"), 0), 7)); + tg.config.add_word("ICP_CURRENT", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("ICP_CURRENT"), 0), 5)); + tg.config.add_word("FREQ_LOCK_ACCURACY", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("FREQ_LOCK_ACCURACY"), 0), 2)); + + tg.config.add_word("MFG_GMC_GAIN", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_GMC_GAIN"), 0), 3)); + tg.config.add_word("MFG_GMC_TEST", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_GMC_TEST"), 14), 4)); + tg.config.add_word("MFG1_TEST", int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG1_TEST"), 0), 3)); + tg.config.add_word("MFG2_TEST", int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG2_TEST"), 0), 3)); + + tg.config.add_word("MFG_FORCE_VFILTER", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_FORCE_VFILTER"), 0), 1)); + tg.config.add_word("MFG_ICP_TEST", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_ICP_TEST"), 0), 1)); + tg.config.add_word("MFG_EN_UP", int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_EN_UP"), 0), 1)); + tg.config.add_word("MFG_FLOAT_ICP", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_FLOAT_ICP"), 0), 1)); + tg.config.add_word("MFG_GMC_PRESET", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_GMC_PRESET"), 0), 1)); + tg.config.add_word("MFG_LF_PRESET", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_LF_PRESET"), 0), 1)); + tg.config.add_word("MFG_GMC_RESET", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_GMC_RESET"), 0), 1)); + tg.config.add_word("MFG_LF_RESET", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_LF_RESET"), 0), 1)); + tg.config.add_word("MFG_LF_RESGRND", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_LF_RESGRND"), 0), 1)); + tg.config.add_word("MFG_GMCREF_SEL", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_GMCREF_SEL"), 0), 2)); + tg.config.add_word("MFG_ENABLE_FILTEROPAMP", + int_to_bitvector(int_or_default(ci->attrs, ctx->id("MFG_ENABLE_FILTEROPAMP"), 0), 1)); + + cc.tilegroups.push_back(tg); } else { NPNR_ASSERT_FALSE("unsupported cell type"); } diff --git a/ecp5/constids.inc b/ecp5/constids.inc index e3bfec6e..bdcbc1ea 100644 --- a/ecp5/constids.inc +++ b/ecp5/constids.inc @@ -787,3 +787,26 @@ X(OVER) X(UNDER) X(OVERUNDER) X(SIGNEDR) + +X(EHXPLLL) +X(CLKFB) +X(PHASESEL1) +X(PHASESEL0) +X(PHASEDIR) +X(PHASESTEP) +X(PHASELOADREG) +X(STDBY) +X(PLLWAKESYNC) +X(RST) +X(ENCLKOP) +X(ENCLKOS) +X(ENCLKOS2) +X(ENCLKOS3) +X(CLKOP) +X(CLKOS) +X(CLKOS2) +X(CLKOS3) +X(LOCK) +X(INTLOCK) +X(REFCLK) +X(CLKINTFB) diff --git a/ecp5/globals.cc b/ecp5/globals.cc index 9afa2bd8..06412fef 100644 --- a/ecp5/globals.cc +++ b/ecp5/globals.cc @@ -331,11 +331,16 @@ class Ecp5GlobalRouter glbnet->is_global = true; dcc->ports[id_CLKO].net = glbnet.get(); - glbnet->users = net->users; + std::vector keep_users; for (auto user : net->users) { - user.cell->ports.at(user.port).net = glbnet.get(); + if (user.port == id_CLKFB) { + keep_users.push_back(user); + } else { + glbnet->users.push_back(user); + user.cell->ports.at(user.port).net = glbnet.get(); + } } - net->users.clear(); + net->users = keep_users; dcc->ports[id_CLKI].net = net; PortRef clki_pr; @@ -396,6 +401,8 @@ class Ecp5GlobalRouter } else { glbid = *(all_globals.begin()); } + all_globals.erase(glbid); + fab_globals.erase(glbid); log_info(" routing clock net %s using global %d\n", clock->name.c_str(ctx), glbid); bool routed = route_onto_global(clock, glbid); -- cgit v1.2.3 From 04f9b87101cc10356ccee8d189e3201258782daa Mon Sep 17 00:00:00 2001 From: David Shah Date: Thu, 1 Nov 2018 20:41:51 +0000 Subject: ecp5: Allow setting IO SLEWRATE Signed-off-by: David Shah --- ecp5/bitstream.cc | 2 ++ 1 file changed, 2 insertions(+) (limited to 'ecp5') diff --git a/ecp5/bitstream.cc b/ecp5/bitstream.cc index b8d9fdda..95256732 100644 --- a/ecp5/bitstream.cc +++ b/ecp5/bitstream.cc @@ -664,6 +664,8 @@ void write_bitstream(Context *ctx, std::string base_config_file, std::string tex if (dir == "INPUT" && !is_differential(ioType_from_str(iotype))) { cc.tiles[pio_tile].add_enum(pio + ".HYSTERESIS", "ON"); } + if (ci->attrs.count(ctx->id("SLEWRATE"))) + cc.tiles[pio_tile].add_enum(pio + ".SLEWRATE", str_or_default(ci->attrs, ctx->id("SLEWRATE"), "SLOW")); } else if (ci->type == ctx->id("DCCA")) { // Nothing to do } else if (ci->type == ctx->id("DP16KD")) { -- cgit v1.2.3