From ca43729f124466b816f922842353feeb3f6f8e84 Mon Sep 17 00:00:00 2001 From: Aki Van Ness Date: Thu, 15 Sep 2022 06:32:45 -0400 Subject: Replaced instances of `arachne-pnr` with the `nextpnr-ice40` equivalent --- icebram/rundemo.sh | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'icebram/rundemo.sh') diff --git a/icebram/rundemo.sh b/icebram/rundemo.sh index 4e90ea2..6917eaa 100644 --- a/icebram/rundemo.sh +++ b/icebram/rundemo.sh @@ -2,8 +2,8 @@ set -ex python3 makedemo.py -yosys -p 'synth_ice40 -blif demo.blif' demo.v -arachne-pnr -d 8k -w demo.pcf -o demo.asc demo.blif +yosys -p 'synth_ice40 -json demo.json' demo.v +nextpnr-ice40 --hx8k --package bg121 --pcf-allow-unconstrained --asc demo.asc --json demo.json ./icebram -v demo_dat0.hex demo_dat1.hex < demo.asc > demo_new.asc -- cgit v1.2.3