aboutsummaryrefslogtreecommitdiffstats
path: root/examples/hx8kboard/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'examples/hx8kboard/Makefile')
-rw-r--r--examples/hx8kboard/Makefile8
1 files changed, 6 insertions, 2 deletions
diff --git a/examples/hx8kboard/Makefile b/examples/hx8kboard/Makefile
index 9795cdf..2e21206 100644
--- a/examples/hx8kboard/Makefile
+++ b/examples/hx8kboard/Makefile
@@ -2,7 +2,7 @@ PROJ = example
PIN_DEF = hx8kboard.pcf
DEVICE = 8k
-all: $(PROJ).bin
+all: $(PROJ).rpt $(PROJ).bin
%.blif: %.v
yosys -p 'synth_ice40 -top top -blif $@' $<
@@ -13,6 +13,9 @@ all: $(PROJ).bin
%.bin: %.asc
icepack $< $@
+%.rpt: %.asc
+ icetime -mt $< | tee $@
+
prog: $(PROJ).bin
iceprog $<
@@ -21,6 +24,7 @@ sudo-prog: $(PROJ).bin
sudo iceprog $<
clean:
- rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin
+ rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin
+.SECONDARY:
.PHONY: all prog clean