aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--examples/hx8kboard/Makefile22
-rw-r--r--examples/icestick/Makefile22
2 files changed, 26 insertions, 18 deletions
diff --git a/examples/hx8kboard/Makefile b/examples/hx8kboard/Makefile
index 551de32..58be467 100644
--- a/examples/hx8kboard/Makefile
+++ b/examples/hx8kboard/Makefile
@@ -1,18 +1,22 @@
-all: example.bin
+PROJ = example
+PIN_DEF = hx8kboard.pcf
+DEVICE = 8k
-example.blif: example.v
- yosys -p 'synth_ice40 -top top -blif example.blif' example.v
+all: $(PROJ).bin
-example.txt: example.blif hx8kboard.pcf
- arachne-pnr -d 8k -o example.txt -p hx8kboard.pcf example.blif
+%.blif: %.v
+ yosys -p 'synth_ice40 -top top -blif $@' $<
-example.bin: example.txt
- icepack example.txt example.bin
+%.txt: $(PIN_DEF) %.blif
+ arachne-pnr -d $(DEVICE) -o $@ -p $^
+
+%.bin: %.txt
+ icepack $< $@
prog:
- iceprog example.bin
+ iceprog $(PROJ).bin
clean:
- rm -f example.blif example.txt example.bin
+ rm -f *.blif *.txt *.bin
.PHONY: all prog clean
diff --git a/examples/icestick/Makefile b/examples/icestick/Makefile
index 295642a..4c56295 100644
--- a/examples/icestick/Makefile
+++ b/examples/icestick/Makefile
@@ -1,18 +1,22 @@
-all: example.bin
+PROJ = example
+PIN_DEF = icestick.pcf
+DEVICE = 1k
-example.blif: example.v
- yosys -p 'synth_ice40 -top top -blif example.blif' example.v
+all: $(PROJ).bin
-example.txt: example.blif icestick.pcf
- arachne-pnr -d 1k -o example.txt -p icestick.pcf example.blif
+%.blif: %.v
+ yosys -p 'synth_ice40 -top top -blif $@' $<
-example.bin: example.txt
- icepack example.txt example.bin
+%.txt: $(PIN_DEF) %.blif
+ arachne-pnr -d $(DEVICE) -o $@ -p $^
+
+%.bin: %.txt
+ icepack $< $@
prog:
- iceprog example.bin
+ iceprog $(PROJ).bin
clean:
- rm -f example.blif example.txt example.bin
+ rm -f *.blif *.txt *.bin
.PHONY: all prog clean