aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--docs/notes_osx.html2
-rw-r--r--examples/iceblink/README2
-rw-r--r--icebox/icebox.py8
-rwxr-xr-xicebox/icebox_html.py4
-rwxr-xr-xicebox/icebox_vlog.py2
-rw-r--r--icecompr/icecompr.cc2
-rw-r--r--icecompr/iceuncompr.c2
-rw-r--r--icefuzz/fuzzconfig.py2
-rw-r--r--icefuzz/make_pll.py1
-rw-r--r--icefuzz/pinloc/pinloc-1k-qn84.sh3
-rw-r--r--icefuzz/tests/example_icestick.v2
-rw-r--r--icefuzz/tests/ioctrl_384.py2
-rw-r--r--icefuzz/tests/sb_ram40.v4
-rw-r--r--icefuzz/timings.py1
-rw-r--r--iceprog/iceprog.c4
15 files changed, 19 insertions, 22 deletions
diff --git a/docs/notes_osx.html b/docs/notes_osx.html
index 7203f44..2361651 100644
--- a/docs/notes_osx.html
+++ b/docs/notes_osx.html
@@ -5,7 +5,7 @@
<h1>Project IceStorm &ndash; Notes for Installing on OSX</h1>
<p>
-The toolchain should be easy to install on OSX platforms. Below are a few troubleshooting items found on Mountain Lion (10.8.2).
+The toolchain should be easy to install on OSX platforms. Below are a few troubleshooting items found on Mountain Lion (10.8.2).
</p>
<p>
diff --git a/examples/iceblink/README b/examples/iceblink/README
index d43a7ae..73846fa 100644
--- a/examples/iceblink/README
+++ b/examples/iceblink/README
@@ -5,6 +5,6 @@ Note, there are at least two similar looking versions of the iCEblink40 evaluati
This example assumes the iCEblink40-HX1K board.
The iCEblink40 boards have an on-board programmer with USB interface from Digilent.
-You need iCEburn to program the FPGA via this interface (or the original vendor
+You need iCEburn to program the FPGA via this interface (or the original vendor
tools).
https://github.com/davidcarne/iceBurn
diff --git a/icebox/icebox.py b/icebox/icebox.py
index 00f451d..f20224b 100644
--- a/icebox/icebox.py
+++ b/icebox/icebox.py
@@ -386,7 +386,7 @@ class iceconfig:
def follow_net(self, netspec):
x, y, netname = netspec
neighbours = self.rlookup_funcnet(x, y, netname)
-
+
#print(netspec)
#print('\t', neighbours)
@@ -463,7 +463,7 @@ class iceconfig:
if self.tile_has_net(s[0], s[1], s[2]):
neighbours.add((s[0], s[1], s[2]))
-
+
#print('\tafter directions', neighbours)
return neighbours
@@ -475,14 +475,14 @@ class iceconfig:
for seg in extra_segments:
seed_segments.add(seg)
-
+
for conn in extra_connections:
s1, s2 = conn
connected_segments.setdefault(s1, set()).add(s2)
connected_segments.setdefault(s2, set()).add(s1)
seed_segments.add(s1)
seed_segments.add(s2)
-
+
for idx, tile in self.io_tiles.items():
tc = tileconfig(tile)
pintypes = [ list("000000"), list("000000") ]
diff --git a/icebox/icebox_html.py b/icebox/icebox_html.py
index d0288ce..98a65bb 100755
--- a/icebox/icebox_html.py
+++ b/icebox/icebox_html.py
@@ -556,7 +556,7 @@ if outdir is not None:
print("Writing %s/index.html.." % outdir, file=stdout)
sys.stdout = open("%s/index.html" % outdir, "w")
print_index()
-
+
for x in range(ic.max_x+1):
for y in range(ic.max_y+1):
if (x, y) in mktiles:
@@ -571,7 +571,7 @@ if outdir is not None:
os.system("icebox_chipdb %s > %s/%s" % ("-8" if mode8k else "", outdir, chipdbfile))
sys.stdout = stdout
-
+
elif (tx, ty) == (0, 0):
print_index()
diff --git a/icebox/icebox_vlog.py b/icebox/icebox_vlog.py
index d28336d..1b19d10 100755
--- a/icebox/icebox_vlog.py
+++ b/icebox/icebox_vlog.py
@@ -592,7 +592,7 @@ for cell in iocells:
text_func.append("// OUT_CLK = %s" % net_oclk)
text_func.append("// LATCH = %s" % net_latch)
text_func.append("// TYPE = %s (LSB:MSB)" % iotype)
-
+
if net_din0 != "" or net_din1 != "":
if net_cen == "1":
icen_cond = ""
diff --git a/icecompr/icecompr.cc b/icecompr/icecompr.cc
index 04e2a09..758f67a 100644
--- a/icecompr/icecompr.cc
+++ b/icecompr/icecompr.cc
@@ -298,7 +298,7 @@ int main(int argc, char **argv)
value |= 1 << (7-j);
fputc(value, output_file);
}
-
+
return 0;
}
diff --git a/icecompr/iceuncompr.c b/icecompr/iceuncompr.c
index 04e7dc8..46c69ef 100644
--- a/icecompr/iceuncompr.c
+++ b/icecompr/iceuncompr.c
@@ -37,7 +37,7 @@ static void write_bit(int value)
if (value)
write_buffer |= 1 << write_bitcounter;
-
+
if (write_bitcounter == 0) {
fputc(write_buffer, output_file);
write_bitcounter = 8;
diff --git a/icefuzz/fuzzconfig.py b/icefuzz/fuzzconfig.py
index 1af5834..2e925ef 100644
--- a/icefuzz/fuzzconfig.py
+++ b/icefuzz/fuzzconfig.py
@@ -71,7 +71,7 @@ elif device_class == "5k":
#TODO(tannewt): Add 39, 40, 41 to this list. It causes placement failures for some reason.
gpins = "20 35 37 44".split()
-
+
def output_makefile(working_dir, fuzzname):
with open(working_dir + "/Makefile", "w") as f:
print("all: %s" % " ".join(["%s_%02d.bin" % (fuzzname, i) for i in range(num)]), file=f)
diff --git a/icefuzz/make_pll.py b/icefuzz/make_pll.py
index 757a222..704b3c0 100644
--- a/icefuzz/make_pll.py
+++ b/icefuzz/make_pll.py
@@ -11,7 +11,6 @@ def randbin(n):
for p in gpins:
if p in pins: pins.remove(p)
-
device_class = os.getenv("ICEDEVICE")
diff --git a/icefuzz/pinloc/pinloc-1k-qn84.sh b/icefuzz/pinloc/pinloc-1k-qn84.sh
index a55b736..f3ba8e7 100644
--- a/icefuzz/pinloc/pinloc-1k-qn84.sh
+++ b/icefuzz/pinloc/pinloc-1k-qn84.sh
@@ -7,8 +7,7 @@ pins="
A1 B1 A2 B2 A3 B3 A4 B4 A5 B5 A8 A9 B7 A10 B8 A11 B9 A12
A13 B10 B11 A14 B12 A16 B13 B14 A19 B15 A20 B17 A22 A23 B18 B19 A25 A26
B20 B21 A27 A29 B22 B23 A31 B24 A32 A33 A34 B26 A35 B27 A38 B29 A39 B30
- A40 B31 A41 A43 B32 A44 A45 B34 A46 B35 A47 B36 A48
-
+ A40 B31 A41 A43 B32 A44 A45 B34 A46 B35 A47 B36 A48
"
if [ $(echo $pins | wc -w) -ne 67 ]; then
diff --git a/icefuzz/tests/example_icestick.v b/icefuzz/tests/example_icestick.v
index 4635550..80924b6 100644
--- a/icefuzz/tests/example_icestick.v
+++ b/icefuzz/tests/example_icestick.v
@@ -24,6 +24,6 @@ module top (
always@(posedge clk)
counter <= counter + 1;
-
+
assign {LED1, LED2, LED3, LED4, LED5} = bin2gray(counter >> LOG2DELAY);
endmodule
diff --git a/icefuzz/tests/ioctrl_384.py b/icefuzz/tests/ioctrl_384.py
index ec8ddb8..e4c0739 100644
--- a/icefuzz/tests/ioctrl_384.py
+++ b/icefuzz/tests/ioctrl_384.py
@@ -19,7 +19,7 @@ for line in fileinput.input():
if line[0] == "IOB_1":
iob = (current_tile[0], current_tile[1], 1)
-if ren is None:
+if ren is None:
print("(%2d, %2d, %2d, ? , ? , ? )," % (iob[0], iob[1], iob[2]))
else:
print("(%2d, %2d, %2d, %2d, %2d, %2d)," % (iob[0], iob[1], iob[2], ren[0], ren[1], ren[2]))
diff --git a/icefuzz/tests/sb_ram40.v b/icefuzz/tests/sb_ram40.v
index 3516593..21fd781 100644
--- a/icefuzz/tests/sb_ram40.v
+++ b/icefuzz/tests/sb_ram40.v
@@ -1,6 +1,6 @@
// ICEDEV=hx8k-ct256 bash ../icecube.sh sb_ram40.v
-// ../../icebox/icebox_vlog.py -P sb_ram40.psb sb_ram40.txt
-// ../../icebox/icebox_explain.py -t '7 21' sb_ram40.txt
+// ../../icebox/icebox_vlog.py -P sb_ram40.psb sb_ram40.txt
+// ../../icebox/icebox_explain.py -t '7 21' sb_ram40.txt
module top (
input [10:0] WADDR,
diff --git a/icefuzz/timings.py b/icefuzz/timings.py
index 2e4cefb..009fd7d 100644
--- a/icefuzz/timings.py
+++ b/icefuzz/timings.py
@@ -435,4 +435,3 @@ if output_mode == "html":
print("<td>%s</td><td>%s</td><td>%s</td>" % tuple(entry[3].split(":")), end="")
print("</tr>")
print("</table>")
-
diff --git a/iceprog/iceprog.c b/iceprog/iceprog.c
index eca9496..27f3589 100644
--- a/iceprog/iceprog.c
+++ b/iceprog/iceprog.c
@@ -2,11 +2,11 @@
* iceprog -- simple programming tool for FTDI-based Lattice iCE programmers
*
* Copyright (C) 2015 Clifford Wolf <clifford@clifford.at>
- *
+ *
* Permission to use, copy, modify, and/or distribute this software for any
* purpose with or without fee is hereby granted, provided that the above
* copyright notice and this permission notice appear in all copies.
- *
+ *
* THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
* WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
* MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR