library ieee; use ieee.std_logic_1164.all; entity c is port ( p : in std_logic_vector(7 downto 0) ); end c;