library ieee; use ieee.std_logic_1164.all; vunit cdc_fifo_rtl_formal (cdc_fifo(rtl)) { SUBTYPE f_t_ram_word IS std_logic_vector(1 DOWNTO 0); }