library ieee; use ieee.std_logic_1164.all; package pkg is type my_rec is record b : std_logic; end record; end pkg;