From cdec4a400cd14775cc06acf43787cb95c792f258 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 29 Nov 2017 06:19:06 +0100 Subject: Add testcase for previous patch. --- testsuite/gna/bug081/dummy.vhdl | 28 ++++++++++++++++++++++++++++ testsuite/gna/bug081/testsuite.sh | 10 ++++++++++ 2 files changed, 38 insertions(+) create mode 100644 testsuite/gna/bug081/dummy.vhdl create mode 100755 testsuite/gna/bug081/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/bug081/dummy.vhdl b/testsuite/gna/bug081/dummy.vhdl new file mode 100644 index 000000000..bdaf37058 --- /dev/null +++ b/testsuite/gna/bug081/dummy.vhdl @@ -0,0 +1,28 @@ +package DummyPackage is + constant FISH: integer := 4; + function STICKS return natural; + constant IM_TOTALLY_NOT_NEGATIVE: natural := FISH - STICKS; +end package; + +package body DummyPackage is + function STICKS return natural is + begin + return 5; + end function; +end package body; + +library ieee; +use ieee.std_logic_1164.all; +use work.DummyPackage.all; + +entity DummyEntity is +port ( + signal i_data: in std_logic_vector(IM_TOTALLY_NOT_NEGATIVE-1 downto 0); + signal o_data: out std_logic_vector(IM_TOTALLY_NOT_NEGATIVE-1 downto 0) +); +end entity; + +architecture arch of DummyEntity is +begin + o_data <= i_data; +end architecture; diff --git a/testsuite/gna/bug081/testsuite.sh b/testsuite/gna/bug081/testsuite.sh new file mode 100755 index 000000000..7101b5636 --- /dev/null +++ b/testsuite/gna/bug081/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze dummy.vhdl +elab_simulate_failure dummyentity + +clean + +echo "Test successful" -- cgit v1.2.3