From c2c6d63247da8973f8cfcdb04038793bc02eb53e Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 14 Mar 2017 08:03:28 +0100 Subject: Add testcase for #314 --- testsuite/gna/issue314/t1.vhdl | 3 +++ testsuite/gna/issue314/testsuite.sh | 30 ++++++++++++++++++++++++++++++ 2 files changed, 33 insertions(+) create mode 100644 testsuite/gna/issue314/t1.vhdl create mode 100755 testsuite/gna/issue314/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue314/t1.vhdl b/testsuite/gna/issue314/t1.vhdl new file mode 100644 index 000000000..972501c9e --- /dev/null +++ b/testsuite/gna/issue314/t1.vhdl @@ -0,0 +1,3 @@ +entity t1 is + err; +end t1; diff --git a/testsuite/gna/issue314/testsuite.sh b/testsuite/gna/issue314/testsuite.sh new file mode 100755 index 000000000..8f6c421bd --- /dev/null +++ b/testsuite/gna/issue314/testsuite.sh @@ -0,0 +1,30 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure t1.vhdl 2> t1.err +grep 2:8 t1.err + +analyze_failure -ftabstop=4 t1.vhdl 2> t1.err +grep 2:4 t1.err + +if analyze -ftabstop=0 t1.vhdl; then + echo "error expected" + exit 1 +fi + +if analyze -ftabstop=140 t1.vhdl; then + echo "error expected" + exit 1 +fi + +if analyze -ftabstop=aa t1.vhdl; then + echo "error expected" + exit 1 +fi + +rm -f t1.err + +clean + +echo "Test successful" -- cgit v1.2.3