From 01fedd0141d2380b2b90e20ecfc7daf81f00800f Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 30 Nov 2017 21:13:37 +0100 Subject: Add testcase for #474 --- testsuite/gna/issue474/t.vhdl | 2 ++ testsuite/gna/issue474/testsuite.sh | 12 ++++++++++++ 2 files changed, 14 insertions(+) create mode 100644 testsuite/gna/issue474/t.vhdl create mode 100755 testsuite/gna/issue474/testsuite.sh (limited to 'testsuite') diff --git a/testsuite/gna/issue474/t.vhdl b/testsuite/gna/issue474/t.vhdl new file mode 100644 index 000000000..53a023142 --- /dev/null +++ b/testsuite/gna/issue474/t.vhdl @@ -0,0 +1,2 @@ +entity t is +end t1; diff --git a/testsuite/gna/issue474/testsuite.sh b/testsuite/gna/issue474/testsuite.sh new file mode 100755 index 000000000..761366b32 --- /dev/null +++ b/testsuite/gna/issue474/testsuite.sh @@ -0,0 +1,12 @@ +#! /bin/sh + +. ../../testenv.sh + +if $GHDL -i t.vhdl; then + echo "Failure expected" + exit 1 +fi + +clean + +echo "Test successful" -- cgit v1.2.3