From d1cb16629dc3c0d62f4c397c2362c79b20874406 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 30 Nov 2022 05:28:42 +0100 Subject: testsuite/synth: add a test for calling operator by name --- testsuite/synth/unary01/func.vhdl | 14 ++++++++++++++ testsuite/synth/unary01/testsuite.sh | 6 ++++-- 2 files changed, 18 insertions(+), 2 deletions(-) create mode 100644 testsuite/synth/unary01/func.vhdl (limited to 'testsuite/synth') diff --git a/testsuite/synth/unary01/func.vhdl b/testsuite/synth/unary01/func.vhdl new file mode 100644 index 000000000..e931a0c67 --- /dev/null +++ b/testsuite/synth/unary01/func.vhdl @@ -0,0 +1,14 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity func is + port (a, b : in std_logic_vector(7 downto 0); + o, p : out std_logic); +end func; + +architecture behav of func is +begin + o <= "or"(a); + p <= "and"(b); +end behav; diff --git a/testsuite/synth/unary01/testsuite.sh b/testsuite/synth/unary01/testsuite.sh index 489868f74..335423189 100755 --- a/testsuite/synth/unary01/testsuite.sh +++ b/testsuite/synth/unary01/testsuite.sh @@ -4,10 +4,12 @@ GHDL_STD_FLAGS=--std=08 -synth test.vhdl -e test > syn_test.vhdl +synth_only test analyze syn_test.vhdl -synth snot.vhdl -e > syn_snot.vhdl +synth_only func + +synth_only snot clean echo "Test successful" -- cgit v1.2.3