From a12c857af5ce335b0b05ee774bd6e08ba6b17846 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 13 Jul 2019 17:33:16 +0200 Subject: Add a test for previous commit. --- testsuite/synth/comp01/testsuite.sh | 3 +++ 1 file changed, 3 insertions(+) (limited to 'testsuite/synth') diff --git a/testsuite/synth/comp01/testsuite.sh b/testsuite/synth/comp01/testsuite.sh index 108959752..5b3e4d8ac 100755 --- a/testsuite/synth/comp01/testsuite.sh +++ b/testsuite/synth/comp01/testsuite.sh @@ -26,4 +26,7 @@ analyze syn_and6.vhdl tb_and6.vhdl elab_simulate tb_and6 clean +# Black box +synth and6comp.vhdl -e and6 > syn_and6bb.vhdl + echo "Test successful" -- cgit v1.2.3