From 15fca8c254f44c5ae5dbe945195c12035f485df5 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 25 Sep 2019 08:05:32 +0200 Subject: testsuite/synth: add testcase for #940 --- testsuite/synth/issue940/ent.vhdl | 11 +++++++++++ testsuite/synth/issue940/testsuite.sh | 11 +++++++++++ 2 files changed, 22 insertions(+) create mode 100644 testsuite/synth/issue940/ent.vhdl create mode 100755 testsuite/synth/issue940/testsuite.sh (limited to 'testsuite/synth/issue940') diff --git a/testsuite/synth/issue940/ent.vhdl b/testsuite/synth/issue940/ent.vhdl new file mode 100644 index 000000000..b4ecc25e5 --- /dev/null +++ b/testsuite/synth/issue940/ent.vhdl @@ -0,0 +1,11 @@ +entity ent is + port ( + i : in bit_vector(3 downto 0); + o : out bit_vector(3 downto 0) + ); +end entity; + +architecture a of ent is +begin + o <= i; +end; diff --git a/testsuite/synth/issue940/testsuite.sh b/testsuite/synth/issue940/testsuite.sh new file mode 100755 index 000000000..6ae2a9715 --- /dev/null +++ b/testsuite/synth/issue940/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +for f in ent; do + synth $f.vhdl -e $f > syn_$f.vhdl + analyze syn_$f.vhdl +done +clean + +echo "Test successful" -- cgit v1.2.3