From f01c93b00c618e994f795285a5eb3debeaf03fcf Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 17 May 2020 09:45:00 +0200 Subject: testsuite/synth: add a test for #1317 --- testsuite/synth/issue1317/repro.vhdl | 24 ++++++++++++++++++++++++ testsuite/synth/issue1317/testsuite.sh | 7 +++++++ 2 files changed, 31 insertions(+) create mode 100644 testsuite/synth/issue1317/repro.vhdl create mode 100755 testsuite/synth/issue1317/testsuite.sh (limited to 'testsuite/synth/issue1317') diff --git a/testsuite/synth/issue1317/repro.vhdl b/testsuite/synth/issue1317/repro.vhdl new file mode 100644 index 000000000..21d28c470 --- /dev/null +++ b/testsuite/synth/issue1317/repro.vhdl @@ -0,0 +1,24 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity simple01 is + port (a, b, c : in std_logic; + z : out std_logic); +end simple01; + +architecture behav of simple01 is +begin + process(A, B, C) + variable temp : std_logic; + begin + case a is + when '1' => + assert b = '0'; + z <= '0'; + when '0' => + z <= '1'; + when others => + z <= 'X'; + end case; + end process; +end behav; diff --git a/testsuite/synth/issue1317/testsuite.sh b/testsuite/synth/issue1317/testsuite.sh new file mode 100755 index 000000000..e9dc72228 --- /dev/null +++ b/testsuite/synth/issue1317/testsuite.sh @@ -0,0 +1,7 @@ +#! /bin/sh + +. ../../testenv.sh + +synth_only repro + +echo "Test successful" -- cgit v1.2.3