From 55faf476ebc08240e9052d184221b57092e68db9 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 2 Feb 2020 19:38:39 +0100 Subject: testsuite/synth: add test for #1117 --- testsuite/synth/issue1117/testsuite.sh | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) create mode 100755 testsuite/synth/issue1117/testsuite.sh (limited to 'testsuite/synth/issue1117/testsuite.sh') diff --git a/testsuite/synth/issue1117/testsuite.sh b/testsuite/synth/issue1117/testsuite.sh new file mode 100755 index 000000000..7b5a2cb7c --- /dev/null +++ b/testsuite/synth/issue1117/testsuite.sh @@ -0,0 +1,16 @@ +#! /bin/sh + +. ../../testenv.sh + +for t in ent; do + analyze $t.vhdl tb_$t.vhdl + elab_simulate tb_$t + clean + + synth '-gg=x"ff_ff_00_01"' $t.vhdl -e $t > syn_$t.vhdl + analyze syn_$t.vhdl tb_$t.vhdl + elab_simulate tb_$t --ieee-asserts=disable-at-0 + clean +done + +echo "Test successful" -- cgit v1.2.3