From 83669ed954d6890747815b7e85b3abb27085ad5d Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 12 Jan 2020 21:34:03 +0100 Subject: testsuite/synth: add a test for #1086 --- testsuite/synth/issue1086/test.vhdl | 16 ++++++++++++++++ testsuite/synth/issue1086/testsuite.sh | 10 ++++++++++ 2 files changed, 26 insertions(+) create mode 100644 testsuite/synth/issue1086/test.vhdl create mode 100755 testsuite/synth/issue1086/testsuite.sh (limited to 'testsuite/synth/issue1086') diff --git a/testsuite/synth/issue1086/test.vhdl b/testsuite/synth/issue1086/test.vhdl new file mode 100644 index 000000000..b88616eea --- /dev/null +++ b/testsuite/synth/issue1086/test.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity test is +end entity test; + +architecture rtl of test is + type reg_internal_type is record + outstanding : integer; + end record; +begin + control1 : process(all) + variable v_int : reg_internal_type; + begin + end process; +end; diff --git a/testsuite/synth/issue1086/testsuite.sh b/testsuite/synth/issue1086/testsuite.sh new file mode 100755 index 000000000..3041b9611 --- /dev/null +++ b/testsuite/synth/issue1086/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 + +synth test.vhdl -e > syn_test.vhdl +clean + +echo "Test successful" -- cgit v1.2.3